新手求教,问几个困惑我很久的单片机问题

新手求教,问几个困惑我很久的单片机问题,第1张

首先回答你那个例子,关于TTL与COMS后面再提,单片机使用的是TTL电路,请记住,单片机上电和复位所有的引脚输出的都是高平,这一点请注意,所以根据发光二极管的导通特性,如果一端接5V电平,那么要让P2。0口输出低电压才能发光,如果是接地,那么一上电,发光二极管就会亮,两种接法的区别在于:前者的驱动能力大,使发光二极管的亮度加强,不至后者那么微弱,因为单片机输出的功率不是很大,单片机因采用TTL电路,输出的高代电平相对来说固定,要么是5V,要么是0V。
下面是TTL与COMS的区别:
什么是ttl电平
TTL电平信号被利用的最多是因为通常数据表示采用二进制规定,+5V等价于逻辑"1",0V等价于逻辑"0",这被称做TTL(晶体管-晶体管逻辑电平)信号系统,这是计算机处理器控制的设备内部各部分之间通信的标准技术。
TTL电平信号对于计算机处理器控制的设备内部的数据传输是很理想的,首先计算机处理器控制的设备内部的数据传输对于电源的要求不高以及热损耗也较低,另外TTL电平信号直接与集成电路连接而不需要价格昂贵的线路驱动器以及接收器电路;再者,计算机处理器控制的设备内部的数据传输是在高速下进行的,而TTL接口的 *** 作恰能满足这个要求。TTL型通信大多数情况下,是采用并行数据传输方式,而并行数据传输对于超过10英尺的距离就不适合了。这是由于可靠性和成本两面的原因。因为在并行接口中存在着偏相和不对称的问题,这些问题对可靠性均有影响;另外对于并行数据传输,电缆以及连接器的费用比起串行通信方式来也要高一些。
TTL电路的电平就叫TTL 电平,CMOS电路的电平就叫CMOS电平
TTL集成电路的全名是晶体管-晶体管逻辑集成电路(Transistor-Transistor Logic),主要有54/74系列标准TTL、高速型TTL(H-TTL)、低功耗型TTL(L-TTL)、肖特基型TTL(S-TTL)、低功耗肖特基型TTL(LS-TTL)五个系列。标准TTL输入高电平最小2V,输出高电平最小24V,典型值34V,输入低电平最大08V,输出低电平最大04V,典型值02V。S-TTL输入高电平最小2V,输出高电平最小Ⅰ类25V,Ⅱ、Ⅲ类27V,典型值34V,输入低电平最大08V,输出低电平最大05V。LS-TTL输入高电平最小2V,输出高电平最小Ⅰ类25V,Ⅱ、Ⅲ类27V,典型值34V,输入低电平最大Ⅰ类07V,Ⅱ、Ⅲ类08V,输出低电平最大Ⅰ类04V,Ⅱ、Ⅲ类05V,典型值025V。TTL电路的电源VDD供电只允许在+5V±10%范围内,扇出数为10个以下TTL门电路;
COMS集成电路是互补对称金属氧化物半导体(Compiementary symmetry metal oxide semicoductor)集成电路的英文缩写,电路的许多基本逻辑单元都是用增强型PMOS晶体管和增强型NMOS管按照互补对称形式连接的,静态功耗很小。COMS电路的供电电压VDD范围比较广在+5--+15V均能正常工作,电压波动允许±10,当输出电压高于VDD-05V时为逻辑1,输出电压低于VSS+05V(VSS为数字地)为逻辑0,扇出数为10--20个COMS门电路
TTL电平信号被利用的最多是因为通常数据表示采用二进制规定,+5V等价于逻辑"1",0V等价于逻辑"0",这被称做TTL(晶体管-晶体管逻辑电平)信号系统,这是计算机处理器控制的设备内部各部分之间通信的标准技术。TTL电平信号对于计算机处理器控制的设备内部的数据传输是很理想的,首先计算机处理器控制的设备内部的数据传输对于电源的要求不高以及热损耗也较低,另外TTL电平信号直接与集成电路连接而不需要价格昂贵的线路驱动器以及接收器电路;再者,计算机处理器控制的设备内部的数据传输是在高速下进行的,而TTL接口的 *** 作恰能满足这个要求。TTL型通信大多数情况下,是采用并行数据传输方式,而并行数据传输对于超过10英尺的距离就不适合了。这是由于可靠性和成本两面的原因。因为在并行接口中存在着偏相和不对称的问题,这些问题对可靠性均有影响;另外对于并行数据传输,电缆以及连接器的费用比起串行通信方式来也要高一些。CMOS电平和TTL电平: CMOS电平电压范围在3~15V,比如4000系列当5V供电时,输出在46以上为高电平,输出在005V以下为低电平。输入在35V以上为高电平,输入在15V以下为低电平。而对于TTL芯片,供电范围在0~5V,常见都是5V,如74系列5V供电,输出在27V以上为高电平,输出在05V以下为低电平,输入在2V以上为高电平,在08V以下为低电平。因此,CMOS电路与TTL电路就有一个电平转换的问题,使两者电平域值能匹配
TTL电平与CMOS电平的区别
(一)TTL高电平36~5V,低电平0V~24V
CMOS电平Vcc可达到12V
CMOS电路输出高电平约为09Vcc,而输出低电平约为01Vcc。
CMOS电路不使用的输入端不能悬空,会造成逻辑混乱。
TTL电路不使用的输入端悬空为高电平
另外,CMOS集成电路电源电压可以在较大范围内变化,因而对电源的要求不像TTL集成电路那样严格。
用TTL电平他们就可以兼容
(二)TTL电平是5V,CMOS电平一般是12V。
因为TTL电路电源电压是5V,CMOS电路电源电压一般是12V。
5V的电平不能触发CMOS电路,12V的电平会损坏TTL电路,因此不能互相兼容匹配。
(三)TTL电平标准
输出 L: <08V ; H:>24V。
输入 L: <12V ; H:>20V
TTL器件输出低电平要小于08V,高电平要大于24V。输入,低于12V就认为是0,高于20就认为是1。
CMOS电平:
输出 L: <01Vcc ; H:>09Vcc。
输入 L: <03Vcc ; H:>07Vcc
一般单片机、DSP、FPGA他们之间管教能否直接相连 一般情况下,同电压的是可以的,不过最好是要好好查查技术手册上的VIL,VIH,VOL,VOH的值,看是否能够匹配(VOL要小于VIL,VOH要大于VIH,是指一个连接当中的)。有些在一般应用中没有问题,但是参数上就是有点不够匹配,在某些情况下可能就不够稳定,或者不同批次的器件就不能运行。
例如:74LS的器件的输出,接入74HC的器件。在一般情况下都能好好运行,但是,在参数上却是不匹配的,有些情况下就不能运行。
74LS和54系列是TTL电路,74HC是CMOS电路。如果它们的序号相同,则逻辑功能一样,但电气性能和动态性能略有不同。如,TTL的逻辑高电平为> 27V,CMOS为> 36V。如果CMOS电路的前一级为TTL则隐藏着不可靠隐患,反之则没问题。
1,TTL电平:
输出高电平>24V,输出低电平<04V。在室温下,一般输出高电平是35V,输出低电平是02V。最小输入高电平和低电平:输入高电平>=20V,输入低电平<=08V,噪声容限是04V。
2,CMOS电平:
1逻辑电平电压接近于电源电压,0逻辑电平接近于0V。而且具有很宽的噪声容限。
3,电平转换电路:
因为TTL和COMS的高低电平的值不一样(ttl 5v<==>cmos 33v),所以互相连接时需要电平的转换:就是用两个电阻对电平分压,没有什么高深的东西。哈哈
4,OC门,即集电极开路门电路,OD门,即漏极开路门电路,必须外界上拉电阻和电源才能将开关电平作为高低电平用。否则它一般只作为开关大电压和大电流负载,所以又叫做驱动门电路。
5,TTL和COMS电路比较:
1)TTL电路是电流控制器件,而coms电路是电压控制器件。
2)TTL电路的速度快,传输延迟时间短(5-10ns),但是功耗大。COMS电路的速度慢,传输延迟时间长(25-50ns),但功耗低。COMS电路本身的功耗与输入信号的脉冲频率有关,频率越高,芯片集越热,这是正常现象。
3)COMS电路的锁定效应:
COMS电路由于输入太大的电流,内部的电流急剧增大,除非切断电源,电流一直在增大。这种效应就是锁定效应。当产生锁定效应时,COMS的内部电流能达到40mA以上,很容易烧毁芯片。
防御措施:
1)在输入端和输出端加钳位电路,使输入和输出不超过不超过规定电压。
2)芯片的电源输入端加去耦电路,防止VDD端出现瞬间的高压。
3)在VDD和外电源之间加线流电阻,即使有大的电流也不让它进去。
4)当系统由几个电源分别供电时,开关要按下列顺序:开启时,先开启COMS电路得电源,再开启输入信号和负载的电源;关闭时,先关闭输入信号和负载的电源,再关闭COMS电路的电源。
6,COMS电路的使用注意事项
1)COMS电路时电压控制器件,它的输入总抗很大,对干扰信号的捕捉能力很强。所以,不用的管脚不要悬空,要接上拉电阻或者下拉电阻,给它一个恒定的电平。
2)输入端接低内组的信号源时,要在输入端和信号源之间要串联限流电阻,使输入的电流限制在1mA之内。
3)当接长信号传输线时,在COMS电路端接匹配电阻。
4)当输入端接大电容时,应该在输入端和电容间接保护电阻。电阻值为R=V0/1mAV0是外界电容上的电压。
5)COMS的输入电流超过1mA,就有可能烧坏COMS。
7,TTL门电路中输入端负载特性(输入端带电阻特殊情况的处理):
1)悬空时相当于输入端接高电平。因为这时可以看作是输入端接一个无穷大的电阻。
2)在门电路输入端串联10K电阻后再输入低电平,输入端出呈现的是高电平而不是低电平。因为由TTL门电路的输入端负载特性可知,只有在输入端接的串联电阻小于910欧时,它输入来的低电平信号才能被门电路识别出来,串联电阻再大的话输入端就一直呈现高电平。这个一定要注意。COMS门电路就不用考虑这些了。
8,TTL电路有集电极开路OC门,MOS管也有和集电极对应的漏极开路的OD门,它的输出就叫做开漏输出。OC门在截止时有漏电流输出,那就是漏电流,为什么有漏电流呢?那是因为当三机管截止的时候,它的基极电流约等于0,但是并不是真正的为0,经过三极管的集电极的电流也就不是真正的0,而是约0。而这个就是漏电流。开漏输出:OC门的输出就是开漏输出;OD门的输出也是开漏输出。它可以吸收很大的电流,但是不能向外输出的电流。
所以,为了能输入和输出电流,它使用的时候要跟电源和上拉电阻一齐用。OD门一般作为输出缓冲/驱动器、电平转换器以及满足吸收大负载电流的需要。
9,什么叫做图腾柱,它与开漏电路有什么区别?
TTL集成电路中,输出有接上拉三极管的输出叫做图腾柱输出,没有的叫做OC门。因为TTL就是一个三级关,图腾柱也就是两个三级管推挽相连。所以推挽就是图腾。

目 录 中英文摘要,关键词…………1 一,中英文摘要,关键词 前言………………………………2 二,前言 原理框图…………………………3 三,原理框图 毕业设计主要结构 结构………………3 四,毕业设计主要结构 4.1,时基信号 . ,时基信号……………………3 4.2,分频器件 CD4040…………10 . , 4.3,可逆计数器 CD40110……15 . , 4.4,置数开关 . ,置数开关……………………20 4.5,控制门 . ,控制门………………………20 4.6,执行电路 . ,执行电路……………………21 毕业设计详细电路图 五,毕业设计详细电路图……………23 详细原理解释……………………24 六,详细原理解释 焊接与调试………………………25 七,焊接与调试 结论………………………………26 八,结论 参考文献…………………………27 九,参考文献 中英文关键字,摘要: 一, 中英文关键字,摘要: 逆计时数显定时器的设计 [摘要] 本文介绍了一种电子定时器.定时时间用数字显示.并进行逆计数.当 摘要] 计数器显示为 “ 0 ” 时.控制器会自动切断或打开用电器的电源, 定时时间 范围 1~ 990分钟。该定时器可替代目前一些家用电器中的机械定时器。 倒计时时定时器的用途很广泛,它可以用作定时器,控制被定时的电器,实现 定时开或者定时关,在定时的过程中,随时显示剩余时间, 它还可以用作倒计 时计数器。由三位数码管直观显示倒计时计数状态。 关键词: 关键词:电子定时器,数字显示,倒计时作者:高剑夫 指导老师:朱永乐 [Abstract] [Abstract] This article introduced one kind of electronic timer Fixed the time is demonstrated with the digit And carried on ploting the number When the counter is demonstrated “0”, The controller automatic turnoff or opens with power source of electric appliance,the range of fixed time is 1~ 990 minutesThis timer may substitute some mechanical timer of domestic electric appliances in the present The use of the count down timer is very widespread, it can be used as the timer,which controls the electric appliance what be fixed time, realizes fixed time opened or closed, in the process of fixed time, momentarily demonstrates the surplus time, it can be also used as the countdown counter Display the condition of the countdown immediately by three nixietube Key word: electronic timer ,digit demonstration,countdown Written by Jianfu Gao Supervised by Yongle Zhu 二, 前言计数器是最常用的时序电路之一,他们不仅可用于对脉冲进行计数,还可以用 于分频,定时,产生节拍脉冲以及其他时序信号。计数器的种类不胜枚举,按照 编码分类可以分成:二进制码计数器,BCD(二——十进制)计数器,循环码计 数器。文章中涉及到的计数器是十进制的。 可逆计数器又称作加/减计数器。是计数器的一个重要组成部分,除了一些专 用的大规模可逆计数器具有符号框功能, 中规模可逆计数器一般是不具备极性符 号功能的。 因此用无符号的中小规模可逆计数器设计带符号的可逆计数器显得尤 为必要.一般完成这一转换需增加三个单元电路。①符号寄存器和符号显示驱动 器 用于寄存和驱动计数状态的极性符号。②清零电路,在计数器的计数状态+0 和 -0 相互转换时,它使计数器清零。③控制门电路。在可逆计数器的极性符号 控制下, 它使正计数状态时的增加时钟脉冲和城小时钟脉冲分别变成负计数状态 时的减小时钟脉冲和增加时钟脉冲。 我们这篇论文写的逆计时数显定时器是在以电子技术(数字部分)该教材基础 上写成的。它主要由:时基信号,分频器,可逆计数器,控制门,置数开关,执 行电路组成。这种电子定时器定时时间用数字显示,并进行逆计数。当计数器显 示为 “ 0 ” 时.控制器会自动切断或打开用电器的电源, 定时时间范围 1~ 990 分钟,LED 数码管显示运行过程。为了更好的理解该电路的设计原理,文章 中详细解释了构成该电子定时器的每个构成部分。 这种采用常规 CMOS 数字集成电路的定时器,电路原理简单,使用方便,适 用于家用电器及工业设备的定时控制,故值得电子爱好者业余制作。 三,原理框图: 原理框图: 数码管 可逆计 数器 控制门 执行电路 置数 开关 分频器 被控电路 时基信号 四,毕业设计主要结构 4.1 时基信号 . 图中 VD1、Rl~R3、D1、D2 等组成时基信号产生电路。 VD1 以及下文中的 VD2,VD9,VD16 都是 1N4001 1N4001 的特征有: 低的反向漏电流 较强的正向浪涌承受能力 高温焊接保证 引线可承受 5 磅 (23kg) 拉力 它的极限值和温度特性 TA = 25℃ 符号 最 大 可 重 复 峰 值 VRRM 反向电压 最大均方根电压 VRMS 最 大 直 流 阻 断 电 VDC 压 最 大 正 向 平 均 整 IF(AV) 流电流 峰 值 正 向 浪 涌 电 IFSM 流 83ms 单一 正 弦半波 最 大 反 向 峰 值 电 IR(AV) 流 典型热阻 RθJA 工 作 结 温 和 存 储 Tj, TSTG 温度 电特性 TA = 25℃ 符号 最大正向电压 IF = VF 10A 最大反向电流 IR TA= 25℃ TA=100℃ 见下表 50 35 50 10 30 单位 V V V A A 30 65 -50 --- +150 A ℃/W ℃ 11 单位 V A 50 100 典型结电容 VR = Cj 15 pF 40V, f = 1MHz 时基信号取交流电的 50HZ 信号。电源变压器次级输出的交流电压经 VD1 半 波整流后在 R1 上产生 50HZ 的脉冲直流电。 图中 D1,D2 是 CD4069------六反相器 CD4069 提供了14 引线多层陶瓷双列直插(D)、熔封陶瓷双列直插(J)、塑 料双列直插(P)和陶瓷片状载体(C)4 种封装形式。 推荐工作条件: 电源电压范围…………3V~15V 输入电压范围…………0V~VDD 工作温度范围 M类…………-55℃~125℃ E 类…………-40℃~85℃ 极限值: 电源电压…-05V~18V 输入电压……-05V~VDD+05V 输入电流……………±10mA 储存温度…………-65℃~150℃ 引出端符号: 1A~6A 数据输入端 VCC 正电源 Vss 地 1Y~6Y 数据输入端 逻辑符号: 引出端排列(俯视) 逻辑表达式: Y= A 逻辑图: 由点 1 输出的脉冲信号经 D1,D2 等组成的施密特触发器整形后在点 2 输出 50HZ 的矩形脉冲信号,供分频器作时钟信号。 施密特触发器特点 施密特触发器与其说是“触发器”,不如说是具有滞后特性的数字传输门, 其特点有二: 1.输入电平的阈值电压由低到高为 ,由高到低为 ,且 > , 为负 输出的变化滞后于输入,形成回环。我们将称 向阈值电压,二者的差值称为回差。 为正向阈值电压, 称 2.与双稳态触发器和单稳态触发器不同,施密特触发器属于“电平触发”型 电路,不依赖于边沿陡峭的脉冲。 下图是施密特发器的电压传输特性,图 (a) 是反相传输特性,图 (b) 是同相传 输特性。 二、由反相器构成的施密特触发器 1.反相器构成的施密特触发器的电路结构 将两级反相器串接起来,同时通过分压电阻把输出端的电压反馈到输入端,就构 成了图所示的施密特触发器。 (a)电路 (b) 图形符号 2.反相器构成的施密特触发器的工作原理 G1,G2 为 CD4069 反相器,门电路的阈值电压为:VTH=1/2VDD,且 R1<R2VI=0 时,VO=VOL≈ 0,VI' ≈ 0 当 VI 从 0 逐渐升高到使得 VI ’ = VTH 时,电路发生正 反馈,如图所 电路状态迅速转换为 Vo=VOH ≈ VDD 当 VI 从 0 逐渐升高到使得 VI ’= VTH 时,电 路发生正反馈,如图所示: 电路状态迅速转换为 Vo=VOH ≈ VDD 正向阈值电压: VI 上升过程中电路状态发生 转换时对应的输入电平正向阈值电压:VT+ =(1+R1/R2)VTH 当 VI 从 VDD 逐渐下 降到使得 VI ’= VTH 时,电路发生正反馈,如图所示: 电路状态迅速转换为 Vo=VOL ≈ 0 负向阈值电压: VI 下降过程中电路状态发生转 换时对应的输入电平负向阈值电压:VT-=(1-R1/R2)VTH 电压传输特性曲线: (a)同相输出 (b) 反相输出 图 电路的电压传输特性 回差电压: 定义回差电压 :VT=VT+— VT通过改变 R1 和 R2 的比值,可以调节 VT+、 VT-和回差电压的大小,但 R1 必须小 于 R2,否则电路将进入自锁状态,不能正常工作。 三、施密特触发器的应用 1用于波形变换: 将边沿变化缓慢的周期性信号变换为边沿很陡的矩形脉冲信号。 图 施密特触发器的应用于波形变换 2脉冲整形: 在数字系统中,矩形脉冲经传输后往往发生波形畸变。 3脉冲鉴幅: 可在输入的一系列幅度各异的脉冲信号中选出幅度大于某一定值的脉冲输 出。 4.2 分频器 分频器由电路CD4040等组成。CD4040为12个D触发器串联的12级二进制计数 器。 l2位的输出端分别为Q1、Q2、 Q12。 把二进制计数器作成分频系数为M 的分频器,也就是说让满M 个脉冲输出一个脉冲。 这十脉冲还要把各级计数器 再次清零,以便重新计数。 图中CC4040 是12 位二进制串行计数器。所有的计数器为主从触发器。计 数器在时钟下降沿进行计数。CR 为高电平时,对计数器进行清零。由于在时钟 输入端使用斯密特触发器, 对脉冲上升和下降时间无限制, 所有输入和输出均经 过缓冲。 CC4040 提供了16 引线多层陶瓷双列直插(D)、熔封陶瓷双列直插(J)、 塑料双列直插(P)和陶瓷片状载体(C)4 种封装形式。 引出端符号: CP 时钟输入端 CR 清除端 Q0~Q11 计数器脉冲输出端 VDD 正电源 Vss 地 推荐工作条件: 电源电压范围…………3V~15V 输入电压范围…………0V~VDD 工作温度范围 M 类…………-55℃~125℃ E 类…………-40℃~85℃ 极限值: 电源电压…-05V~18V 输入电压……-05V~VDD+05V 输入电流……………±10mA 储存稳定…………-65℃~150℃ 逻辑符号: 引出端排列(俯视) 功能表: 逻辑图: 静态特性:参数 VO (V) VOL 输出低 电平电压 (最大) VOH 输出 高 电平电压 (最小) VIL输入 低电 平电压 (最大) VIH 输入 高 电平电压 (最小) IOH 输出 高电 平电流 (最小) IOL 输出 低电 平电流 (最小) II 输入电 流 IDD 电源 电 流 (最大) 测试条件 VI (V) 5/0 10/0 15/0 5/0 10/0 15/0 VDD (V) 50 100 150 50 100 150 50 100 150 50 100 150 50 50 100 150 50 100 150 150 50 100 150 规范值 -55℃ 005 -40℃ 25℃ 85℃ 125 ℃ 单位 V 495 995 1495 15 30 40 35 70 110 -20 -064 -16 -42 064 16 42 ±01 50 100 150 50 100 200 -18 -061 -15 -40 061 15 40 -16 -051 -13 -34 051 13 34 -13 -042 -11 -28 042 11 28 ±10 1500 3000 6000 -115 -036 - 09 -24 036 09 24 V 05/45 10/90 15/135 45/05 90/10 135/15 25 46 95 135 04 05 15 - V - V 5/0 5/0 10/0 15/0 5/0 10/0 15/0 15/0 5/0 10/0 15/0 mA mA μA μA 动态工作条件(TA=25℃):参数 规范值 VDD=5V 最小 fcp CP 频率 tw CP 脉 冲宽度 tw CR 脉 冲宽度 tRE CR 撤离时间 tr 、tf CP 上升或下 降时间 140 200 350 无限制 最大 35 VDD=10V 最小 60 80 150 最大 80 40 60 100 VDD=15V 最小 最大 120 MHz Ns Ns Ns Μs 单位 动态特性(TA=25℃): 参数 测试条件 VDD (V) 规范值最小 最大 单位 CP *** 作 tPLH、tPHL 传输 延迟时间 CP →Q0 Qn →Qn+1 CL=50pF RL=200k tr=20ns tf=20ns 50 100 150 50 100 150 50 100 150 50 100 150 50 100 150 50 100 150 - - 360 160 130 200 80 60 200 100 80 - Ns - tTLH、tTHL 输出转换时间 - Ns fcp CP 频率 35 80 120 - MHz tw CP 脉冲宽度 140 60 40 Ns tr、tf CP 上升或下降时 间 CI 输入电容 (任一输入端) CR *** 作 无限制 Μs - 75 pF tPHL 传输 延迟 时间 时间 CR→Q 50 100 150 50 100 150 50 100 150 - 280 120 100 200 80 60 350 150 100 Ns tw CR 脉冲宽度 - tRE CR 撤离时间 这里要把50Hz的脉冲信号通过分频器分频后得到周期为1分钟的脉冲信号,分 频系数M =50×60=3000.就是要用二进制计数器搭成分频系数为3000的分频器。 电路中用二极管VD3~ VD8, 电阻R4组成的 “与” 门电路从计数器的输出Q1~ Ql2 中检测出时钟数M , 3000个时钟脉冲对应于Q4=8, Q5=16, Q6=32, Q8=128, Q9=256, Q10=512及Q12=2048。 这些输出均为高电平 “1” 即8+16+32+128+256+2048=3000 , 时,点3输出一个脉冲,同时经R4送入CD4040的置零端R,使其复位。 二极管 VD3~ VD8 是六个 1N4148 1N4148的特征是: 反向漏电流小 开关速度快 最大功率耗散500mW 高稳定性和可靠性 4.3可逆计数器 可逆计数器由三个CD40110组成 CD40110 为十进制可逆计数器/锁存器/译码器/驱动器,具有加减计数,计 数器状态锁存,七段显示译码输出等功能。CD40110 有2 个计数时钟输入端CPU 和CPD 分别用作加计数时钟输入和减计数时钟输入。 由于电路内部有一个时钟信 号预处理逻辑,因此当一个时钟输入端计数工作时,另一个时钟输入端可以是任 意状态。 CD40110 的进位输出CO 和借位输出BO 一般为高电平,当计数器从0~9 时, BO 输出负脉冲;从9~0 时CO 输出负脉冲。在多片级联时,只需要将CO 和 BO分别接至下级CD40110 的CPU 和CPD 端,就可组成多位计数器。计数器作加 法计数时每计满l0个数后其输出一个脉冲:Qb0 为借位输出端.计数器作减法计 数时每计满10个数后其输出一个脉冲, 把Qc0 、 Qb0分别和上一位的CPU, D 相 CP 连可组成多级可逆计数器 引出端符号: BO 借位输出端 CO 进位输出端 CPd 减计数器时钟输入端 CPu 加计数器时钟输入端 CR 清除端 /CT 计数允许端 /LE 锁存器预置端 VDD 正电源 Vss 地 Ya~6g 锁存译码输出端 引出端排列: 逻辑图 静态特性: 参数 测试条件 IOH (m A) VOL 输出 低 电平 电压 ( 最 大) VOH 输出 - VO (V) - VI (V ) 5/0 10/0 15/0 VDD (V ) 50 100 150 规范值 -55℃ -40℃ 25℃ 85℃ 125℃ 单 位 V 005 - - 5/0 10/0 50 100 495 995 V 高 电平 电压 ( 最 小) VIL 输出 低 电平 电压 ( 最 大) VIH 输出 高 电平 电压 ( 最 小) IOL 输 出低 电 平电 流 ( 最 小) II 输 入 电 流 IDD 电源 电 流 ( 最 大) VOH 输出 驱 动电 压 ( 典 型) - 05/45 10/90 15/135 15/0 150 1495 - 50 100 150 15 30 40 V - 45/05 90/10 135/15 - 50 100 150 35 70 110 V - 04 05 15 5/0 10/0 15/0 50 100 150 064 16 42 061 15 40 051 13 34 042 11 28 036 09 24 mA - - 15/0 150 ±01 ±10 μA - - 5/0 10/0 15/0 50 100 150 50 100 200 50 100 200 1500 3000 6000 μA 0 10 25 0 10 25 0 10 25 - - 50 50 50 100 100 100 150 150 150 - 455 413 364 955 925 885 1455 1421 1390 - V - - - - - - - - CD401l0的7个输出端a~g分别和LED共阴极数码管a~z端对应相连,数码管 即可显示计数器所计的数值 4.4 置数开关 s1、s2是置数开关,将时钟脉冲送到IC3的加法计数输出端,按动sl或s2即可将 IC3~IC5所组成的计数器达到预定的数值.s1为快调按钮,按下 S1时时钟脉冲 频率为50Hz;s2为慢调按钮.按下 s2时.时钟频率为2 5/l6Hz S3为计数器的置零开关。 S1~S3选用小型按钮开关。 4.5 控制门 D3~D6、VD9~VD15等组成控制门。 当IC3的减法输入端输入每分钟1个的计时脉冲时,计数器作减法计数。控制门的 作用是:当LED数码管显示“000”时,、可封住计时脉冲使计数器维持在此状态 不变.并通过执行机构使用电器打开或关闭。 为了达到上述目的.必须对IC3~IC5的7段输出进行译码.即当数显为 “000” 时 使 ④ 为高电平“1” ,从而由VD9、R6组成的“或” 门把减法计数输入端 封住,减法计数被禁止,计数器被维持在 “000” 状态.除非重新按动S1和S2。 经分析发现:CD40110显示“0”时.其f为高电平“ l”.g为低电平“ 0”.而 且f为高电平1、g为低电平“ 0” 时显示的数字唯一可能是0.因此f 、g为显示 0的特征笔划, F4~F6为非门,当f为高电平“ 1”时.其输出为低电平 0” 这 样.当IC3~IC5的f为高电平“ 1”时.g为低电平“0 ”时.由VD10~VD15、 R9组成的“或”门 输出低电平.从而点④输出高电平。 4.6 执行电路 执行电路由VT1,非门3及S4等组成。 VT19013的特征: 最大耗散功率:Pcm = 0625 W 最大集电极电流:Icm = 05 A 集电极-基极击穿电压:Vcbo = 45 V 电特性 (环境温度 25 ℃)参数 集 电 极-基 极 击 穿 电 压 集 电 极-发 射 极 击 穿 电压 发 射 极-基 极 击 穿 电 压 集 电 极-基 极 截 符号 Vcbo 测试条件 Ic = 100μA,Ie=0 最 小 典 型 最 大 单位 值 值 值 45 V Vceo Ic=01mA,Ib=0 25 V Vebo Ie=100μA,Ic=0 5 V Icbo Vcb=40V, Ie=0 01 μA 止 电 流 集 电 极-发 射 极 截 止 电流 发 射 极-基 极 截 止 电 流 直 流 电 流 增益 集 电 极-发 射 极 饱 和 压降 基极发 射 极 饱 和 压 降 基极发 射 极 正 向 电 压 特 征 频率 Hf1分类 档次 范围 Iceo Vce=20V,Ib=0 01 μA Iebo Veb=5V,Ic=0 01 μA Hfe1 Hfe2 Vce=1V,Ic=50mA Vce=1V,Ic=500mA 64 40 300 Vce(sat) Ic=500mA,Ib=50mA 06 V Vbe(sat) Ic=500mA,Ib=50mA 12 V Vbe Ie=100mA 14 V Ft Ved=6V,Ic=20mA,f=30MHz 150 MHz D 64-91 E 78-112 F 96-135 G 112-166 H 144-220 I 190-300 S4为继电器吸合状态的选择开关,当开关s4置于1时,继电器K 定时释放.关断 用电器电源;当开关s4置于2时.继电器K 定时吸合.接通用电器电源。继电器K 选用额定工作电压为12V、触点负荷为220V×3A以上的继电器.如JQX-4F等。 总电路图,S4 应选用有自锁装置的按钮开关。 五,毕业设计详细原理图 六,详细原理解释电路中的R1-R3,VD1及非门1,2组成时基信号产生电路。电路变压器次级输出 的交流电压经VD1半波整流后,在R1上产生50HZ的脉冲信号,该信号经非门1,2 组成的施密特触发器整形后,由非门2输出50HZ的方波信号,供分频器作时钟信 号。 分频器由IC2 CD4040组成,CD4040是一个12级二进制计数器。IC2的12为输出 端分别为Q1,Q2Q12。把二进制计数器作为分频器系数为M的分频器,就要求计 数器在计满M个脉冲时输出一个脉冲,而这个脉冲还要把各级计数器再次清零。 电路中要求把50HZ的时钟信号经分频得到周期为1分钟的脉冲信号,其分频系 数M=5060=3000。二极管VD3-VD8,R4组成与门电路,它可以从IC2输出端检测出 始终脉冲数M。3000个始终脉冲对应于Q4=8,Q5=16,Q6=32,Q8=128,Q9=256, Q10=512 及 Q12=2048 , 这 些 输 出 端 全 部 为 高 电 平 , 即 8+16+32+128+256+512+2048=3000时,在A点输出一个脉冲,同时经R5送入IC2的 置零端R,使其复位。 可逆计数器是由三个CD40110(IC2,IC3,IC4)组成的。CD40110是一块集计 数,译码,锁存及驱动为一体的集成电路。CPU为加法输入端,当有脉冲输入时, 计数器做加法计数;CPD为减法输入端,当有脉冲输入时,计数器做减法计数。 QCO为进位端,计数器在做加法计数时,每计满10个数后输出一个脉冲。QBO为借 位输出端,计数器在做减法计数时,每计满10个数后输出一个脉冲。把QCO,QBO 分别和上一位的CPU,CPD相连,可组成多级可逆计数器。 CD40110的7个输出端a-g分别和LED共阴级数码管的a-g端连接,以显示计数器 所计的数值。 开关S1,S2是置数开关,可将时钟脉冲送到IC3的CPU端,按动S1或者S2便可使 IC3-IC5所组成的计数器达到预定的数值。开关S1为快调按钮,按下S1时,时钟 频率为50HZ;开关S2为慢调按钮,按下S2时,时钟频率为25/16HZ。 非门3-非门6,VD9-VD15组成控制门。当IC3的CPD端每分钟输入一个计时脉冲 时,计数器做减法计数。控制门的作用是:当三位LED数码管显示“000”时,可 封住计时脉冲,使计时器维持此状态不变,并通过执行电路使被控制电器的电源 打开或者关闭。 为了达到上述目的,必须对IC3-IC5的输出进行译码,即当数显为“000”时, 使B点为高电平, 从而使VD9及R6组成的或门把减法计数端封住, 减法计数被禁止。 当CD40110的f端为高电平, g端为低电平时, 数码管显示为 “0” 这样, 。 在IC2-IC5 的f端为高电平,非门4-非门6的输出端为低电平,此时由VD10-VD15,R9组成的 或门输出低电平,从而使B点为高电平,该电平加在IC3的CPD端,使计数器停止 计数。 执行电路由VT1,非门3及S4等组成。S4为继电器吸合状态的选择开关,当S4 置于1位置时,继电器K1定时释放;当S4置于2位置时,继电器K1定时吸合。继电 器K1的触点K1的触点K1-1的控制使用电器供电电源的接通与关断。 七,焊接与调试 71焊接方面 在焊接之前, 先要做好一系列的准备: 1准备好焊锡丝, 助焊剂, 调温电烙铁, 用于各种连线, 安装线, 屏蔽线的导线。 2按电路板尺寸对元件进行弯脚及整形, 元件型号及数值应放在可见的位置。 在焊接 *** 作时,先调节电烙铁的温度到350摄氏度,将插好元件的电路板焊接 面朝上,左手拿焊锡丝,右手持电烙铁,使烙铁头贴着元件的引线加热,使焊锡 丝在高温下熔化, 沿着引线向下流动, 直至充满焊孔并覆盖引线周围的金属部分, 撤去焊锡丝并沿着引线向上方提拉烙铁头,形成像水滴一样光亮的焊点。焊接速 度要快, 一般不超过3S, 以免损坏元件。 由于引线的粗细不同, 焊孔的大小不同, 如一次未焊好, 等冷却后再焊。 焊接顺序: 先焊细导线和小型元件, 后焊晶体管, 集成块,最后焊接体积较大较重的元件。 72电路的调试 一、调试方法: 1,分块调试法。把总体电路安装按功能分为若干个模块,对每个模块分别进行 调试。一块一块地进行,逐步扩大调试范围,最后完成总调试。方法有两种:一 种边安装边调试,即按信号流向组装一模块就调试一模块,然后再继续组装其他 模块。另一种是整体电路一次组装完毕后,再分块调试。其优点:问题出现的范 围小,可及时发现,易于解决。 2, 整体调整法,此方法是把整个电路组装完毕后,不进行分块调试,实行一次 性总调。 二、调试步骤: 1,通电前检查。特别注意电源是否接错,电源与地是否有短接,二极管方向和 电解电容的极性是否接反,集成电路和晶体管的引脚是否接错。 2,通电检查。一定是调试好所需要的电源电压数值,然后才能给电路接通电源。 电源一经接通,不要急于用仪器观测波形和数据,而是要观察是否有异常现象, 如冒烟、异常气味、放电的声光、元器件发烫等。若有,应及时关闭电源,待排 除故障后才可以接通电源。 3, 块调试。 按调试要求测试性能指标和观察波形。 调试顺序按信号的流向进行, 这样可以把前面调试通过的输出信号作为后一级的输入信号, 为最后的整机联调 创造条件。 4, 整机调试 八,结论 九,参考文献 [1] 周长源 [2] 《电路理论基础》 高等教育出版社 L298 L297 英文应用笔记 20032 [3] 庚华光 《电子技术基础》 数字部分 [5] 梁德厚 《数字电子技术及应用》 机械工业出版社 [6] 何筱平 高效步进电机[J] 微特电机 , 19832

实验六 555定时器及其应用
一.实验目的
1. 熟悉555定时器的组成及功能。
2. 掌握555定时器的基本应用。
3. 进一步掌握用示波器测量脉冲波形的幅值和周期。
二.实验原理
555定时器(又称时基电路)是一个模拟与数字混合型的集成电路。按其工艺分双极型和CMOS型两类,其应用非常广泛。
1. 555定时器的组成和功能
图6—1是555定时器内部组成框图。它主要由两个高精度电压比较器A1、A2,一个RS触发器,一个放电三极管和三个5KΩ电阻的分压器而构成。
图6—1 555定时器组成框图
它的各个引脚功能如下:
1脚:外接电源负端VSS或接地,一般情况下接地。
8脚:外接电源VCC,双极型时基电路VCC的范围是45 ~ 16V,CMOS型时基电路VCC的范围为3 ~ 18V。一般用5V。
3脚:输出端Vo
2脚: 低触发端
6脚:TH高触发端
4脚: 是直接清零端。当 端接低电平,则时基电路不工作,此时不论 、TH处于何电平,时基电路输出为“0”,该端不用时应接高电平。
5脚:VC为控制电压端。若此端外接电压,则可改变内部两个比较器的基准电压,当该端不用时,应将该端串入一只001μF电容接地,以防引入干扰。
7脚:放电端。该端与放电管集电极相连,用做定时器时电容的放电。
在1脚接地,5脚未外接电压,两个比较器A1、A2基准电压分别为 的情况下,555时基电路的功能表如表6—1示。
表6—1 555定时器的功能表
清零端
高触发端TH 低触发端
Qn+1 放电管T 功能
0
0 导通 直接清零
1
0 导通 置0
1
1 截止 置1
1
Qn 不变 保持
2. 5555定时器的应用
1)构成多谐振荡器
用555定时器构成多谐振荡器的电路和工作波形如图6—2所示
(a)多谐振荡器电路 (b)工作波形
图6—2 多谐振荡器电路和工作波形
接通电源后,假定 是高电平,则T截止,电容C充电。充电回路是VCC—R1—R2—
C—地, 按指数规律上升,当 上升到 时(TH、 端电平大于 ),输出 翻转为低电平。 是低电平,T导通,C放电,放电回路为C—R2—T—地, 按指数规律下降,当 下降到 时(TH、 端电平小于 ), 输出翻转为高电平,放电管T截止,电容再次充电,如此周而复始,产生振荡,经分析可得
输出高电平时间
输出低电平时间
振荡周期
输出方波的占空比
2)构成单稳态触发电路
用555定时器构成的单稳态触发电路和工作波形如图6—3示
(a)单稳态触发电路 (b)工作波形
图6—3 单稳触发电路和工作波形
接通电源后,未加负脉冲, ,而C充电, 上升,当 时,电路 输出为低电平,放电管T导通,C快速放电, 使 = 0。这样,在加负脉冲前, 为低电平, = 0,这是电路的稳态。在t = t0时刻 负跳变( 端电平小于 ),而 = 0(TH端电平小于 ),所以输出 翻为高电平,T截止,C充电。 按指数规律上升。t = t1时, 负脉冲消失。t = t2时 上升到 (此时TH端电平大于 , 端电平大于 ), 又自动翻为低电平。在 这段时间电路处于暂稳态。t > t2,T导通,C快速放电,电路又恢复到稳态。由分析可得:
输出正脉冲宽度 tW = 11RC
注意:图6—3(a)电路只能用窄负脉冲触发,即触发脉冲宽度ti必须小于tW
三.实验内容和实验线路
1.用555定时器构成多谐振荡器
1)连接如图6—2(a)示多谐振荡器电路。
2)用示波器观察、记录输出电压 和电容上电压 的波形,测出VOH、VOL、VC1(峰点值)、VC2(谷点值)及周期T的数值,且算出T的理论值,与实测值相比较。
2.用555定时器构成一个占空比可调(周期不变)的方波发生器
1)连接好图6—4示占空比可调的方波发生器电路。
图 6-4占空比可调的方波发生器电路
2)调节RP,观察占空比的变化,用示波器观察 、 的波形。
3)在RP活动头分别移至两端的情况下,测出输出 的T、tPH、tPL计算出占空比。
3.用555定时器构成单稳态触发电路
1)按图6—5连接好电路。当触发器脉冲宽度ti大于单稳态触发电路输出脉冲宽度tw时,应如图中所示接入R1、C1微分 ,使555定时器2脚输入负脉冲为窄脉冲。
图 6-5单稳态触发器电路
2)Vi接连续脉冲f = 512HZ,用示波器观察、记录Vi、V2、VC及VO的波形(以Vi为触发信号),测出VO的脉冲宽度tW,且与理论值相比较。
4设计一个用555定时器构成的方波发生器,要求方波的周期为1ms,占空比为5%。
四.预习要求
1.搞清555定时器的功能和应用
2.理论计算出实验内容1多谐振荡器的输出方波的周期T
3.理论计算实验内容3 中2)输出脉冲宽度tW。
4.搞清图6—5中R1、C1微分电路的作用。Vi为连续脉冲,对应地分析、画出V2的波形。
五.思考题
1.用两片555定时器设计一个间歇单音发生电路,要求发出单音频率约为1KHZ,发音时间约为05S,间歇时间约为05S。
2.图6—4电路中指出电容C充电途径、放电途径。写出振荡周期T和占空比表达式。理论计算出实验内容2、3两种情况下的占空比。
3.图6—5中,设微分电路的输入连续脉冲周期为Ti,R1、C1的参数应如何选择?
4.实验内容3中,如果不采用R1、C1微分电路,即Vi直接接至定时器的2脚,是否还能得到原来脉冲宽度tw的输出脉冲。
六.实验仪器与器材
1.电子技术实验箱 MS-ⅢA型 1台
2.直流电源(+5V)DS-2B-12型 1台
3.示波器 5020B型 1台
4.万用表 MF-47型 1只
5.555定时器 1只

如果74LS132的工作电源电压时5V,那么它的输出肯定要低于5V,因为输出级的晶体管或场效应管总要有压降的。建议你改用74HC132或者74HCT132,在负载电流很低的情况下,输出会很接近工作电源电压。


欢迎分享,转载请注明来源:内存溢出

原文地址: https://outofmemory.cn/zz/13454797.html

(0)
打赏 微信扫一扫 微信扫一扫 支付宝扫一扫 支付宝扫一扫
上一篇 2023-08-10
下一篇 2023-08-10

发表评论

登录后才能评论

评论列表(0条)

保存