单片机串口通信程序 PC发送一段任何数据到单片机,按一个按钮让原数据再返回给PC机!我写的程序出了问题!

单片机串口通信程序 PC发送一段任何数据到单片机,按一个按钮让原数据再返回给PC机!我写的程序出了问题!,第1张

不要误人子弟,PC机往单片机每次可以发送任意个数据,MCU接收时候处理一下就OK了,

下面是我的程序,给你参考。

//中断方式接收PC机数据

/\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\

设计思路

接收到的数据存入到dat[50]数组中,

中断方式接收,每次中断接收后,开启定时器,

/////////////////////////////

//

//陈仲库

#include <reg51h>

#include <stdioh>

int dat[20];

unsigned char num=0;

int time=0;

bit MCU_RXD_FLG=0;

void COM_Init(void)

{

SCON = 0x50;

TMOD &= 0x0F;

TMOD |= 0x20;

PCON |= 0x80;

TH1 = 0xFD;

ES=1;EA=1;

TR1 = 1;

TI = 1;

TH0=0xfc; //T0定义初始值

TL0=0x18;

TR0=0; //关闭T0计时

ET0=1;

}

//向串口发送一个字符

void MCU_TXD(unsigned char ch)

{

SBUF=ch;

while(TI==0);

TI=0;

}

//串口接收中断函数

void serial () interrupt 4 using 3

{

EA=0;

if(RI)

{

unsigned char ch;

TR0=0; time=0;

RI = 0;

ch=SBUF;

dat[num++]=ch;

TR0=1;

}

EA=1;

}

delay(int t){while(t--); }

/ PC发送数据必须以0XAA开头和以 0X55结束(帧头帧尾)/

void main(void)

{

int i=0;

COM_Init();

while(1)

{

if(MCU_RXD_FLG)

{

EA=0;

TR0=0;

if(dat[0]==0xAA && dat[num-1]==0x55)

for(i=0;i<num;i++) printf("%0x ",dat[i]);

num=0;

MCU_RXD_FLG=0;

EA=1;

}

}

}

void timer0() interrupt 1 //T0中断 定时01ms

{

EA=0;

TH0=0xfc;

TL0=0x18;

MCU_RXD_FLG=1;

TR0=0;

EA=1;

}

关于AT24C02程序网上多的是,很成熟的程序

OK希望对你能有帮助

右键我的电脑--属性--计算机名--在计算机描述(D)里(任意、随你喜欢,两台要输入不一样的)输入名字--更改--计算机名(C)里(任意、随你喜欢、两台要输入不一样的)输入名字

点击隶属于下的工作组(W)(重要的一步)

然后在工作组里(两台要输入一个样的)工作组的名字(比如>

#include <reg51h>

#include <stringh>

unsigned char ch;

bit read_flag= 0 ;

void init_serialcom( void )

{

SCON = 0x50 ; //SCON: serail mode 1, 8-bit UART, enable ucvr

//UART为模式1,8位数据,允许接收

TMOD |= 0x20 ; //TMOD: timer 1, mode 2, 8-bit reload

//定时器1为模式2,8位自动重装

PCON |= 0x80 ; //SMOD=1;

TH1 = 0xFD ; //Baud:19200 fosc="11"0592MHz

IE |= 0x90 ; //Enable Serial Interrupt

TR1 = 1 ; // timer 1 run

TI=1;

}

//向串口发送一个字符

void send_char_com( unsigned char ch)

{

SBUF=ch;

while (TI== 0);

TI= 0 ;

}

//串口接收中断函数

void serial () interrupt 4 using 3

{

if (RI)

{

RI = 0 ;

ch=SBUF;

read_flag= 1 ; //就置位取数标志

}

}

main()

{

init_serialcom(); //初始化串口

while ( 1 )

{

if (read_flag) //如果取数标志已置位,就将读到的数从串口发出

{

read_flag= 0 ; //取数标志清0

send_char_com(ch);

}

}

}

这个程序由四部分组成

init_serialcom( void ) //初始化串口

send_char_com( unsigned char ch) //向串口发送字符

serial () interrupt 4 using 3 //中断串口接收字符

main() //主函数

//代码来自 单片机小精灵v13

//波特率9600  110592晶振  方式2

#include <reg51h>

void InitUART(void)

{

    TMOD = 0x20;

    SCON = 0x50;

    TH1 = 0xFD;

    TL1 = TH1;

    PCON = 0x00;

    EA = 1;

    ES = 1;

    TR1 = 1;

}

void SendOneByte(unsigned char c)

{

    SBUF = c;

    while(!TI);

    TI = 0;

}

void main(void)

{

    InitUART();

}

void UARTInterrupt(void) interrupt 4

{

    if(RI)

    {

        RI = 0;

        //add your code here!

    }

    else

        TI = 0;

}

//本模块的功能是验证实现和PC机进行基本的串口通信的功能。需要在

//PC机上安装一个串口调试工具来验证程序的功能。

//程序实现了一个收发一帧10个bit(即无奇偶校验位)的串口控

//制器,10个bit是1位起始位,8个数据位,1个结束

//位。串口的波特律由程序中定义的div_par参数决定,更改该参数可以实

//现相应的波特率。程序当前设定的div_par 的值是0x145,对应的波特率是

//9600。用一个8倍波特率的时钟将发送或接受每一位bit的周期时间

//划分为8个时隙以使通信同步

//程序的工作过程是:串口处于全双工工作状态,按动key1,FPGA向PC发送“21 EDA"

//字符串(串口调试工具设成按ASCII码接受方式);PC可随时向FPGA发送0-F的十六进制

//数据,FPGA接受后显示在7段数码管上。

//视频教程适合我们21EDA电子的所有学习板

module serial(clk,rst,rxd,txd,en,seg_data,key_input,lowbit);

input clk,rst;

input rxd;//串行数据接收端

input key_input;//按键输入

output[7:0] en;

output[7:0] seg_data;

reg[7:0] seg_data;

output txd;//串行数据发送端

output lowbit;

////////////////////inner reg////////////////////

reg[15:0] div_reg;//分频计数器,分频值由波特率决定。分频后得到频率8倍波特率的时钟

reg[2:0] div8_tras_reg;//该寄存器的计数值对应发送时当前位于的时隙数

reg[2:0] div8_rec_reg;//该寄存器的计数值对应接收时当前位于的时隙数

reg[3:0] state_tras;//发送状态寄存器

reg[3:0] state_rec;//接受状态寄存器

reg clkbaud_tras;//以波特率为频率的发送使能信号

reg clkbaud_rec;//以波特率为频率的接受使能信号

reg clkbaud8x;//以8倍波特率为频率的时钟,它的作用是将发送或接受一个bit的时钟周期分为8个时隙

reg recstart;//开始发送标志

reg recstart_tmp;

reg trasstart;//开始接受标志

reg rxd_reg1;//接收寄存器1

reg rxd_reg2;//接收寄存器2,因为接收数据为异步信号,故用两级缓存

reg txd_reg;//发送寄存器

reg[7:0] rxd_buf;//接受数据缓存

reg[7:0] txd_buf;//发送数据缓存

reg[2:0] send_state;//每次按键给PC发送"Welcome"字符串,这是发送状态寄存器

reg[19:0] cnt_delay;//延时去抖计数器

reg start_delaycnt;//开始延时计数标志

reg key_entry1,key_entry2;//确定有键按下标志

////////////////////////////////////////////////

parameter div_par=16'h145;//分频参数,其值由对应的波特率计算而得,按此参数分频的时钟频率是波倍特率的8

//倍,此处值对应9600的波特率,即分频出的时钟频率是96008 (CLK 50M)

////////////////////////////////////////////////

assign txd=txd_reg;

assign lowbit=0;

assign en=0;//7段数码管使能信号赋值

always@(posedge clk )

begin

if(!rst) begin

cnt_delay<=0;

start_delaycnt<=0;

end

else if(start_delaycnt) begin

if(cnt_delay!=20'd800000) begin

cnt_delay<=cnt_delay+1;

end

else begin

cnt_delay<=0;

start_delaycnt<=0;

end

end

else begin

if(!key_input&&cnt_delay==0)

start_delaycnt<=1;

end

end

always@(posedge clk)

begin

if(!rst)

key_entry1<=0;

else begin

if(key_entry2)

key_entry1<=0;

else if(cnt_delay==20'd800000) begin

if(!key_input)

key_entry1<=1;

end

end

end

always@(posedge clk )

begin

if(!rst)

div_reg<=0;

else begin

if(div_reg==div_par-1)

div_reg<=0;

else

div_reg<=div_reg+1;

end

end

always@(posedge clk)//分频得到8倍波特率的时钟

begin

if(!rst)

clkbaud8x<=0;

else if(div_reg==div_par-1)

clkbaud8x<=~clkbaud8x;

end

always@(posedge clkbaud8x or negedge rst)

begin

if(!rst)

div8_rec_reg<=0;

else if(recstart)//接收开始标志

div8_rec_reg<=div8_rec_reg+1;//接收开始后,时隙数在8倍波特率的时钟下加1循环

end

always@(posedge clkbaud8x or negedge rst)

begin

if(!rst)

div8_tras_reg<=0;

else if(trasstart)

div8_tras_reg<=div8_tras_reg+1;//发送开始后,时隙数在8倍波特率的时钟下加1循环

end

always@(div8_rec_reg)

begin

if(div8_rec_reg==7)

clkbaud_rec=1;//在第7个时隙,接收使能信号有效,将数据打入

else

clkbaud_rec=0;

end

always@(div8_tras_reg)

begin

if(div8_tras_reg==7)

clkbaud_tras=1;//在第7个时隙,发送使能信号有效,将数据发出

else

clkbaud_tras=0;

end

always@(posedge clkbaud8x or negedge rst)

begin

if(!rst) begin

txd_reg<=1;

trasstart<=0;

txd_buf<=0;

state_tras<=0;

send_state<=0;

key_entry2<=0;

end

else begin

if(!key_entry2) begin

if(key_entry1) begin

key_entry2<=1;

txd_buf<=8'd50; //"2"

end

end

else begin

case(state_tras)

4'b0000: begin //发送起始位

if(!trasstart&&send_state<7)

trasstart<=1;

else if(send_state<7) begin

if(clkbaud_tras) begin

txd_reg<=0;

state_tras<=state_tras+1;

end

end

else begin

key_entry2<=0;

state_tras<=0;

end

end

4'b0001: begin //发送第1位

if(clkbaud_tras) begin

txd_reg<=txd_buf[0];

txd_buf[6:0]<=txd_buf[7:1];

state_tras<=state_tras+1;

end

end

4'b0010: begin //发送第2位

if(clkbaud_tras) begin

txd_reg<=txd_buf[0];

txd_buf[6:0]<=txd_buf[7:1];

state_tras<=state_tras+1;

end

end

4'b0011: begin //发送第3位

if(clkbaud_tras) begin

txd_reg<=txd_buf[0];

txd_buf[6:0]<=txd_buf[7:1];

state_tras<=state_tras+1;

end

end

4'b0100: begin //发送第4位

if(clkbaud_tras) begin

txd_reg<=txd_buf[0];

txd_buf[6:0]<=txd_buf[7:1];

state_tras<=state_tras+1;

end

end

4'b0101: begin //发送第5位

if(clkbaud_tras) begin

txd_reg<=txd_buf[0];

txd_buf[6:0]<=txd_buf[7:1];

state_tras<=state_tras+1;

end

end

4'b0110: begin //发送第6位

if(clkbaud_tras) begin

txd_reg<=txd_buf[0];

txd_buf[6:0]<=txd_buf[7:1];

state_tras<=state_tras+1;

end

end

4'b0111: begin //发送第7位

if(clkbaud_tras) begin

txd_reg<=txd_buf[0];

txd_buf[6:0]<=txd_buf[7:1];

state_tras<=state_tras+1;

end

end

4'b1000: begin //发送第8位

if(clkbaud_tras) begin

txd_reg<=txd_buf[0];

txd_buf[6:0]<=txd_buf[7:1];

state_tras<=state_tras+1;

end

end

4'b1001: begin //发送停止位

if(clkbaud_tras) begin

txd_reg<=1;

txd_buf<=8'h55;

state_tras<=state_tras+1;

end

end

4'b1111:begin

if(clkbaud_tras) begin

state_tras<=state_tras+1;

send_state<=send_state+1;

trasstart<=0;

case(send_state)

3'b000:

txd_buf<=8'd49;//"1"

3'b001:

txd_buf<=8'd32;//" "

3'b010:

txd_buf<=8'd69;//"E"

3'b011:

txd_buf<=8'd68;//"D"

3'b100:

txd_buf<=8'd65;//"A"

3'b101:

txd_buf<=8'd10;//"e"

default:

txd_buf<=0;

endcase

end

end

default: begin

if(clkbaud_tras) begin

state_tras<=state_tras+1;

trasstart<=1;

end

end

endcase

end

end

end

always@(posedge clkbaud8x or negedge rst)//接受PC机的数据

begin

if(!rst) begin

rxd_reg1<=0;

rxd_reg2<=0;

rxd_buf<=0;

state_rec<=0;

recstart<=0;

recstart_tmp<=0;

end

else begin

rxd_reg1<=rxd;

rxd_reg2<=rxd_reg1;

if(state_rec==0) begin

if(recstart_tmp==1) begin

recstart<=1;

recstart_tmp<=0;

state_rec<=state_rec+1;

end

else if(!rxd_reg1&&rxd_reg2) //检测到起始位的下降沿,进入接受状态

recstart_tmp<=1;

end

else if(state_rec>=1&&state_rec<=8) begin

if(clkbaud_rec) begin

rxd_buf[7]<=rxd_reg2;

rxd_buf[6:0]<=rxd_buf[7:1];

state_rec<=state_rec+1;

end

end

else if(state_rec==9) begin

if(clkbaud_rec) begin

state_rec<=0;

recstart<=0;

end

end

end

end

always@(rxd_buf) //将接受的数据用数码管显示出来

begin

case (rxd_buf)

8'h30:

seg_data=8'b11000000;

8'h31:

seg_data=8'b11111001;

8'h32:

seg_data=8'b10100100;

8'h33:

seg_data=8'b10110000;

8'h34:

seg_data=8'b10011001;

8'h35:

seg_data=8'b10010011;

8'h36:

seg_data=8'b10000010;

8'h37:

seg_data=8'b11111000;

8'h38:

seg_data=8'b10000000;

8'h39:

seg_data=8'b10010000;

8'h41:

seg_data=8'b00010001;

8'h42:

seg_data=8'b11000001;

8'h43:

seg_data=8'b0110_0011;

8'h44:

seg_data=8'b1000_0101;

8'h45:

seg_data=8'b0110_0001;

8'h46:

seg_data=8'b0111_0001;

default:

seg_data=8'b1111_1111;

endcase

end

endmodule

//创建一个串口通讯

SerialPort CurrentPort = null;

CurrentPort = new SerialPort();

CurrentPortReadBufferSize = 128;

CurrentPortPortName = comName; //端口号

CurrentPortBaudRate = bandRate; //比特率

CurrentPortParity =parity;//奇偶校验

CurrentPortStopBits = stop;//停止位

CurrentPortDataBits = databit;//数据位

CurrentPortReadTimeout = 1000; //读超时,即在1000内未读到数据就引起超时异常

//绑定数据接收事件,因为发送是被动的,所以你无法主动去获取别人发送的代码,只能通过这个事件来处理

CurrentPortDataReceived += Sp_DataReceived;

CurrentPortOpen();

定义一个变量 byte[] receiveStr;

//绑定的事件处理函数

private static void Sp_DataReceived(object sender, SystemIOPortsSerialDataReceivedEventArgs e)

{

SerialPort sp = sender as SerialPort;

if (sp == null)

return;

byte[] readBuffer = new byte[spReadBufferSize];

spRead(readBuffer, 0, readBufferLength);

//赋值

receiveStr=readBuffer;//当然你可以通过转换将byte[]转换为字符串。

}

//你要求的按钮事件可以这么写

private void button1_Click(object sender, EventArgs e)

{

if(receiveStr!=null)

{

变量 xxx=receiveStr;

}

}

以上就是关于单片机串口通信程序 PC发送一段任何数据到单片机,按一个按钮让原数据再返回给PC机!我写的程序出了问题!全部的内容,包括:单片机串口通信程序 PC发送一段任何数据到单片机,按一个按钮让原数据再返回给PC机!我写的程序出了问题!、实现两台计算机之间串口通信的步骤、求助!已经建立MCU和PC之间的串口通信。PC端上已经用VC写好了串口通信程序,能正常接收数据。等相关内容解答,如果想了解更多相关内容,可以关注我们,你们的支持是我们更新的动力!

欢迎分享,转载请注明来源:内存溢出

原文地址: https://outofmemory.cn/zz/9284288.html

(0)
打赏 微信扫一扫 微信扫一扫 支付宝扫一扫 支付宝扫一扫
上一篇 2023-04-26
下一篇 2023-04-26

发表评论

登录后才能评论

评论列表(0条)

保存