在QUARTUS 中怎么把编好的程序转化为模块

在QUARTUS 中怎么把编好的程序转化为模块,第1张

在quartus软件的右边界面有个files选项,选择后点击device

design

files出现下拉出来的很多v文件,这些就是你添加到工程中的一些要用到的

文件,选中你要转化为模块的文件点右键,有一个选项是creat

symbol

files

for

current

file,这样就把编好的程序做成模块了。

本人也在用Verilog语言编写程序,之前编写过测试文件,在Quartus中编译总是出错,说不是一个模块之类的,用在Modelsim中就可以实现,因为Modelsim在你启动仿真时,要求你添加源代码和测试文件(不添加测试文件也可以,以用自己给时序,像Quartus一样)。所以本人认为Quartus只能通过建立波形文件来仿真。

以上就是关于在QUARTUS 中怎么把编好的程序转化为模块全部的内容,包括:在QUARTUS 中怎么把编好的程序转化为模块、Quartus II 中如何通过Verilog编写测试文件、等相关内容解答,如果想了解更多相关内容,可以关注我们,你们的支持是我们更新的动力!

欢迎分享,转载请注明来源:内存溢出

原文地址: https://outofmemory.cn/zz/9660594.html

(0)
打赏 微信扫一扫 微信扫一扫 支付宝扫一扫 支付宝扫一扫
上一篇 2023-04-30
下一篇 2023-04-30

发表评论

登录后才能评论

评论列表(0条)

保存