EDA用Quartus II制作 7人表决器

EDA用Quartus II制作 7人表决器,第1张

可以给你提供思路。使用状态机。

第一部分电路:首先产生S0到S7共8个状态,8个状态无条件循环,实际上就是一个3位计数器。对7个表决输入编号A1到A7。另外设置计数器C,S0状态下若A1=1,则C自加1,否则不变;S1状态下若A2=1,则C继续自加1,否则不变;同理一直到S6状态下,若A7=1,则C自加1,否则不变;在S7状态下,无条件将C寄存到另一个寄存器Count里,并且S7返回S0继续无条件循环。

第二部分电路:组合逻辑,将第一部分电路中的Count寄存器通过译码产生7段显示码。数码管按顺时针方向分别是abcdefg,g为中间一横,例如Count为1,则要显示的数据是1,则bc=1,其余为0;若Count为5,则acdfg=1,其余为0;若Count为8,则abcdefg全为1(基于共阴数码管)

程序就自己写吧~

为什么不把程序写出来更容易解决?

Found 4 output pins without output pin load capacitance assignment

这个问题对程序没有影响,你可以把这个警告隐藏起来,下次遇到同样的不就看不到了?

Warning: Found pins functioning as undefined clocks and/or memory enables

Info: Assuming node "clk" is an undefined clock

这里说的是你的程序没有定义时钟,那你写的程序应该是组合逻辑电路。

其他的也没有大影响

以上就是关于EDA用Quartus II制作 7人表决器全部的内容,包括:EDA用Quartus II制作 7人表决器、quartus ii9.0verilog 程序问题、等相关内容解答,如果想了解更多相关内容,可以关注我们,你们的支持是我们更新的动力!

欢迎分享,转载请注明来源:内存溢出

原文地址: https://outofmemory.cn/zz/9815817.html

(0)
打赏 微信扫一扫 微信扫一扫 支付宝扫一扫 支付宝扫一扫
上一篇 2023-05-02
下一篇 2023-05-02

发表评论

登录后才能评论

评论列表(0条)

保存