virtuoso 怎么添加IO

virtuoso 怎么添加IO,第1张

virtuoso 添加IO如下:

virtuoso添加新库 tool-library editor-edit-add library 找到需要的库添加即可 新加入库也需要版定才能使用 注意:新的库要放在虚拟机里(如DOCUMENT中)。

ic61两个电路图互相复制步骤如下。

1、新建一个库postsimulation,关联到相应工艺库。

2、仿真原理图包括好几个模块,将要进行后仿的一个或者几个模块原理图的symbol复制到新建库变为spectre。

3、在virtuoso界面,点tool、CDF、Edit。

4、将原库进行仿真的symbol替换成新库里的spectre。

5、添加新库,点击Setup、添加一个新库,这个库就是后仿的文件pexnetlist,同时将文件中的端口顺序按照inputscs中的端口顺序进行修改。

IC610以上的版本只能够识别OA格式的PDK,而tsmc18rf 所采用的是cdb格式,所以需要进行转换,而IC610自带CDB-OA转换功能,实现很方便  。

总结写在开头:

对于cdb转oa,首先找到相应cdb库的cdb.lib文件(或其他名字的.lib文件)和相应的cdb库文件夹,然后用virtusos自带的tools—Conversion Toolbox进行转换,生成新的库文件夹,然后新建library,路径指向这个新的文件夹即可

软件和资料请看个人介绍

工艺库转换步骤如下:

1.打开virtuoso

/opt/Cadence/IC617/bin/virtuoso

2.打开tools--library manager查看当前library

3.在IC617文件夹中新建Library和OAconversion文件夹,在Library文件夹中新建1P6M_1.8V_3.3V_MM_RF

mkdir ./ Library

mkdir ./ Library /1P6M_1.8V_3.3V_MM_RF

mkdir ./ OAconversion

解压库压缩包到tsmc18rf_pdk_v13d文件夹

tar –xvf tsmc18rf_pdk_v13d.tar –C tsmc18rf_pdk_v13d

4.安装pdkInstall.pl到Library

perl ./pdkInstall.pl

1

/opt/Cadence/IC617/Library/ 1P6M_1.8V_3.3V_MM_RF(事前新建的文件夹1P6M_1.8V_3.3V_MM_RF)

5.将/opt/Cadence/IC617/Library/1P6M_1.8V_3.3V_MM_RF中cds.lib拷贝到/opt/Cadence/IC617/OAconversion/cdb

6.将这个cds.lib文件中的tsmc18rf后面的改成/opt/Cadence/IC617/Library/1P6M_1.8V_3.3V_MM_RF/tsmc18rf(事前新建的1P6M_1.8V_3.3V_MM_RF文件夹路径)

点击tools-Conversion Toolbox,然后点击CDB to OpenAccess Translator

7.然后Browse中选择/opt/Cadence/IC617/OAconversion/cdb/cds.lib,然后点击OK

8.这时/opt/Cadence/IC617/OAconversion新生成了tsmc18rf文件夹,将原来/opt/Cadence/IC617/Library/1P6M_1.8V_3.3V_MM_RF中的tsmc18rf删除,然后再将OAconversion新生成了tsmc18rf拷贝到其中。

9.然后在virtuoso中点击file—new—library,选择1P6M_1.8V_3.3V_MM_RF中的techfile

10.然后在Tools—library Manager中看,发现tsmc18rf库已经加入


欢迎分享,转载请注明来源:内存溢出

原文地址: http://outofmemory.cn/bake/11901042.html

(0)
打赏 微信扫一扫 微信扫一扫 支付宝扫一扫 支付宝扫一扫
上一篇 2023-05-19
下一篇 2023-05-19

发表评论

登录后才能评论

评论列表(0条)

保存