EDA2位二进制全加器的设计程序(用VHDL语言) 求解

EDA2位二进制全加器的设计程序(用VHDL语言) 求解,第1张

有辩棚氏几种方法,下面给你个简单直接的

library ieee

use ieee.std_logic_1164.all

use ieee.std_logic_unsigned.all

entity adder2b is

port(cin;ins td_logic

a,b: in std_logic_vector(2 downto 0)

s: out std_logic_vector(2 downto 0)

cout: out std_logic)

end adder2b

architecture vhd of adder2b is

signed sint:std_logic_vector(3 downto 0)

signed al,bl:std_logic_vector(3 downto 0)

begin

al<='0'&a

bl<='0'携散和败&b

sint<=al+bl+cin

s<=sint(2 downto 0)

cout<=sint(3)

end vhd

B0

C0=A0B0

S1=A⊕B⊕C

C1=(AB+AC+BC)``=[(AB)`(AC)`(BC)`]`

见附图

1、示波器内的校准信号 用机内校准信号(方波:f=1KHz VP—P=1V)对示波器进行自检。 1) 输入并调出校准信号波形 ,校准信号输出端通过专用电缆与 Y1(或 Y2)输入通道接通,根据实验原理中有关示波器的描述, 正确设置和调节示波器各控制按钮、有关旋钮,将校准信号波形显示在荧光屏上。

2、分别将触发方式开关置“高频”和“常态”位置,然后调节电平旋钮,使波形稳定。 2) 校准“校准信号”幅度 将 Y 轴灵握梁敏度“微调”旋钮置“校准”位置(即顺时针旋到底) ,Y 轴灵敏度开关置适当位置,读 取信号幅度,记入表 1—1 中。 表 1—1 标 准 值 幅 频 度 率 0.5VP—P 1KHz 实 测 值 0.5VP—P 1KHz。

3、校准“校准信号”频率 将扫速“微调”旋钮置“校准”位置,扫速开关置适当位置,读取校准信号周期,记入表 1—1 中。

4、 示波器和毫伏表测量信号参数 令信号发生器输出频率分别为 500Hz、1KHz、5KHz,10KHz,有衡皮穗效值均为 1V(交流毫伏表测量值) 的正弦波信号。 调节示波器扫速开关和 Y 轴灵敏度开关,测量信号源输出电压周咐卜期及峰峰值,计算信号频率及有效 值,记入表 1—2 中。 表 1—2 信号电 压值 1V 1V 1V 1V 信号频 率值 500Hz 1KHz 5KHz 10KHz 示 0.5×4 0.2×5 0.05×4 0.02×5 500 1000 5000 10000 波 器 测 量 值 峰峰值(VP—P) 0.5×5.8 0.5×5.8 0.5×5.8 0.5×5.8 有效值(V) 1.03 1.03 1.03 1.03 周期(ms) 频率(Hz)。

参考代码如下,

module

add_1bit

(a,

b,

ci,

s,

co)

input

a,

b,

ci

//州伏Ci为碰宴上个进位。

output

reg

s,

co

//co为当前的进位,s为加结果笑迹银

always@(*)

begin

co

=

(a&b)

|

(b&ci)

|

(ci&a)

if

(ci)

s

=

!

(a^b)

else

s

=

(a^b)

end

endmodule


欢迎分享,转载请注明来源:内存溢出

原文地址: http://outofmemory.cn/yw/12375648.html

(0)
打赏 微信扫一扫 微信扫一扫 支付宝扫一扫 支付宝扫一扫
上一篇 2023-05-25
下一篇 2023-05-25

发表评论

登录后才能评论

评论列表(0条)

保存