eda中三输入一输出的程序怎么写?

eda中三输入一输出的程序怎么写?,第1张

ENTITY triple IS

PORT(a,b,c: IN bit

outa,outb,outc: OUT bit)

END

ARCHITECTURE rtl OF triple IS

BEGIN

outa <空慧坦斗桐碧饥= a

outb <= NOT b

outc <= a OR b OR c

END

library ieee

use ieee.std_logic_1164.all

use ieee.std_logic_unsigned.all

entity v1206 is

port(RST,EN,T : in std_logic

a,b : in std_logic_vector(3 downto 0)

y0,y1 : out std_logic_vector(3 downto 0))

end v1206

architecture one of v1206 is

begin

process(RST,EN,T,a,b)

begin

if RST='0' then

y0 <= "0000"

y1 <= "0000"消和

else if EN='1'橡帆 then

if T='梁桥雹0' then

y0 <= a-b+a

else

y1 <= b(2 downto 0)&b(3)

end if

end if

end if

end process

end one


欢迎分享,转载请注明来源:内存溢出

原文地址: http://outofmemory.cn/yw/8265424.html

(0)
打赏 微信扫一扫 微信扫一扫 支付宝扫一扫 支付宝扫一扫
上一篇 2023-04-14
下一篇 2023-04-14

发表评论

登录后才能评论

评论列表(0条)

保存