vs的一些编译选项(持续更新)

vs的一些编译选项(持续更新),第1张

vs的一些编译选项(持续更新)

文章目录
        • 提高编译速度的选项
          • /MP
          • /Gm-
          • 预编译头

提高编译速度的选项 /MP

开启多线程编译,默认时开启的状态,注意该选项和下面的/Gm-选项是互相冲突的,即开启了该选项,就必须关闭Gm-选项,否则多线程编译无法生效;

vs设置:c/c++ 》 常规 》 多处理器编译。默认是打开状态

/Gm-

(已弃用)开启这个选项后,一些修改的头文件可能不会被重新编译。这个选项在新版本的编译器中已被弃用。为了缩短生成时间,建议改为使用预编译标头和增量和并行生成(/MP)选项。

vs设置:c/c++ 》 代码生成 》启用最小重新生成

预编译头

这个选项的说来话长,简单说就是可以将一些比较稳定且在工程中依赖比较多的模块预先编译好放在一个文件里(通常是以.pch为扩展名的),这个文件就称为预编译头文件。往后使用到这些模块的cpp中编译时只需把已经编译好的头加载到内存中即可,不需要重新预编译头中的内容。

详细说明可参考:https://blog.csdn.net/u012135461/article/details/78430236

vs设置:c/c++ 》预编译头

欢迎分享,转载请注明来源:内存溢出

原文地址: http://outofmemory.cn/zaji/5634584.html

(0)
打赏 微信扫一扫 微信扫一扫 支付宝扫一扫 支付宝扫一扫
上一篇 2022-12-16
下一篇 2022-12-16

发表评论

登录后才能评论

评论列表(0条)

保存