国产芯片弯道超车,一旦攻克2个难点,实现70%自给率不是难题

国产芯片弯道超车,一旦攻克2个难点,实现70%自给率不是难题,第1张

近年来,我国在芯片产业上的短板愈发令人担忧,国产芯片实现弯道超车,成为众多国人的愿望。

根据国务院规划,国产芯片自给率力求在2025年时实现70%。而在2019年时,这一数字约为30%。

目前,我国芯片产业正面临两大难题,若是这两个难点能够攻克,自给率70%的目标将不是难题。

在接受《证券日报》采访时,千门资产投研总监宣继游表示,制程和光刻机部分、工业软件部分,是当前制约我国半导体芯片发展的两大因素。

光刻机同半导体制造精度直接相关,决定了芯片的最先进制程。

想要突破10nm芯片制程节点,光源波长为13.5nm的EUV光刻机必不可缺。这也是为何,三星、台积电每年都要争抢ASML的EUV光刻机产能。

目前,全球仅ASML一家可生产EUV光刻机。

不过,我国想要突破光刻机难题极其困难。光刻机被成为“现代半导体行业皇冠上的明珠”,具有极高的技术含量,可以说是现代顶尖 科技 的结晶。

在ASML的背后,有5000家供应商的支撑,才能生产出一台EUV光刻机。因此,中国光刻机想要突围十分苦难。

工业软件是工业制造命脉。其中,作为“芯片之母”的研发设计类工业软件——EDA,位于整个芯片产业的最上游,轻轻松松便能卡住全球芯片行业的脖子。

可惜的是,我国在EDA行业正在被海外垄断,美国Candence、Synopsys、Mentor Graphics三家企业,占据了我国95%的市场。

华为、中兴、联想等,均采用的以上企业的EDA产品。

这不得不令人警惕。好在,我国在EDA领域已经小有突破,其中,华大九天便是国产EDA的领头羊。

如今,华大九天已经商业化晶圆制造专用EDA工具等产品,拿下紫光展锐、华为等400多家客户。

从以上可以看出,国产芯片想要弯道超车并不是一件容易事,需要攻克重重的困难。

宣继游也表示,从根本上解决这两点问题的可能性很低,需要“围绕已经过了专利期的普通产品能否实现复制和提高芯片自给率去努力”。

近年来我国推出越来越多政策,加速半导体产业进步。

同时,中国是全球最大的半导体市场,巨大的需求量将刺激我国相关企业的发展。越来越多的资本,也向中国半导体产业涌入。

受此影响,中国实现芯片自给率70%的目标,还是有很大的希望。

光刻机被誉为半导体产业皇冠上的明珠。光刻机的主要作用是将掩模版上的芯片电路图转移到硅片上,在某种程度上来说,光刻工艺的决定了半导体线路的线宽,同时也决定了芯片的性能与功耗,越高端的芯片,所需要的光刻工艺也越先进。

“工欲善其事,必先利其器”,光刻机就是芯片制造中的那一把“利器”,也被誉为半导体产业皇冠上的明珠。光刻机的主要作用是将掩模版上的芯片电路图转移到硅片上,在某种程度上来说,光刻工艺的决定了半导体线路的线宽,同时也决定了芯片的性能与功耗,越高端的芯片,所需要的光刻工艺也越先进。

大家都知道,芯片很重要,离开了芯片,几乎所有电子设备都会失去作用。但要是离开光刻机,自然也就制造不出芯片,同样也不可能有手机、电脑等电子设备的产生。

光刻机的关键技术:以光为媒,刻化微纳于方寸之间

指甲盖大小的一枚芯片,内部却包含了上千万个晶体管,犹如一座超级城市,线路错综复杂,这跟光刻机的工作原理相关,其中涉及系统集成、精密光学、精密运动、精密物料传输、高精度微环境控制等多项先进学科。因此光刻机是所有半导体制造设备中技术含量最高的设备,具备极高的单台价值。

如果单纯从工作原理的角度来解析,光刻机并不复杂。“以光为媒,刻化微纳于方寸之间”,光刻机是通过串联的光源能力以及形状控制手段,将光束透射过画着线路图的校正,经过物镜补偿各种光学误差,将线路图成比例缩小后映射到硅片上,然后使用化学方法进行显影、刻蚀处理,最终得到刻在硅片上的电路图。

但是它最难的在于,需要在极小的空间内完成超精细的纳米级雕刻工艺,为具备这项能力。需要掌握的关键技术有很多,主要包括以下几种:

1、“微缩投影系统”即所谓的“光刻机镜头”。这种镜头不是一般的镜头,其尺寸可以达到高2米直径1米甚至更大。光刻机的整个曝光光学系统,可能需要20多块锅底大的镜片串联组成,将光学零件精度控制在纳米级别。每块镜片都由高纯度透光材料制成,还包括高质量抛光处理等过程,一块镜头的成本在数万美元上下

2、既然叫做“光刻机”,所以“光源”也是光刻机的核心之一,要求光源必须发出能量稳定且光谱很窄很窄的紫外光,这样才能保证加工精度和精度的稳定性。按照光源的发展轨迹,光刻机从最初的紫外光源(UV)发展到深紫外光(DUV),再到如今的极紫外光(EUV),三者最大的不同在于波长,波长越短,曝光的特征尺寸就越小。

(资料源自上海微电子官网、东兴证券研究所,OFweek电子工程网制图)

最早的光刻机采用汞灯产生的紫外光源,从g-line一直发展到i-line,波长从436nm缩短到365nm。随后,业界利用电子束激发惰性气体和卤素气体结合形成的气体分子, 向基态跃迁时所产生准分子激光的深紫外光源,将波长进一步缩短至193nm,由于在此过程中遇到了技术障碍,因此采用浸没式(immersion)等技术进行矫正后,光刻机的极限光刻工艺节点可达28nm。

如今,业界最先进的光刻机是EUV光刻机,将准分子激光照射在锡等靶材上,激发出波长13.5nm的光子作为光刻机光源。EUV光刻机大幅度提升了半导体工艺水平,能够实现7nm及以下工艺,为摩尔定律的延续提供了更好地方向。而业界也只有ASML一家能够提供EUV设备,处于产业金字塔顶端

3、分辨率,对光刻工艺加工可以达到的最细线条精度的一种描述方式。光刻的分辨率受光源衍射的限制,所以与光源、光刻系统、光刻胶和工艺等各方面都有关系,总体来说,分辨率和光源波长的关系可以用公式“R(分辨率)=K1(工艺参数)λ(光源波长)/NA(光学镜头的数值孔径)”

4、工艺节点,是反映芯片技术工艺水平最直接的参数。工艺节点的尺寸数值基本上和晶体管的长宽成正比关系,每一个节点基本上是前一个节点的0.7倍,0.7X0.7=0.49,所以每一代工艺节点上晶体管的面积都比上一代小大约一半,因此单位面积上的晶体管数量将翻番,这就是著名的摩尔定律。一般18~24个月,工艺节点就会发展一代。

工艺节点发展以28nm为分水岭,虽然依然按照0.7倍的规律前进,但实际上晶体管的面积以及电性能的提升远落后于节点数值变化。比如英特尔当时统计数据显示,他们20nm工艺的实际性能已经相当于三星14nm和台积电的16nm工艺。更麻烦的是,不同厂商工艺节点换算方法不一,导致了很多理解上的混乱。因此,只有对芯片有很高要求的产品才会采用28nm及以下先进工艺。当然,发展到现在,台积电已经开发出了更为先进的5nm工艺并实现量产,今年下半年就会有搭载相关芯片的产品面世。

高端光刻机为什么难买又难造?

一般来说,一条芯片生产线上需要好几台光刻机,而一台光刻机的造价也非常高,其中成像系统和定位系统最贵,整台设备算下来造价三千万到五亿美元不等。此外,光刻机上的零部件还包括来自瑞典的轴承、德国的镜头、美国的光栅、法国的阀件等等,都属于各个国家的高端工艺产品。

光刻机的折旧速度非常快,每天大概就要花费3~9万人民币,将其称为“印钞机”也不为过。正是因为光刻机昂贵的造价和上文中提到的各项高先进技术,ASML一年也只能制造出20多台EUV光刻机。

这么昂贵的设备,ASML公司一年卖出几台就够养活整个公司了,中国市场一直以来都是ASML看好的重点业务区域,但是却偏偏不能向中国出售高端光刻机,为什么呢?这里就要提到《瓦森纳协定》。比如中芯国际苦苦等待的EUV光刻机,虽然设备一直没到,但是也没有因此停止研发进程,已经在14nm的基础上研发出“N+1”、“N+2”工艺,等同于7nm工艺,公司联合首席执行官兼执行董事梁孟松也透露出,现阶段哪怕不用EUV光刻机,也可以实现7nm工艺。但想要大规模成熟量产,依然离不开EUV光刻机。

中国又被誉为“制造大国”,既然买不着,那自己造如何?

在过去,搜狐能 copy 雅虎,淘宝能 copy eBay,滴滴 copy Uber,那咱们能不能 copy 一个ASML出来自己造光刻机?要知道,ASML可谓是当前光刻机领域的“一哥”,尽管尼康和佳能与之并称“光刻机三巨头”,但在支持14nm及以下的光刻机上,唯有ASML一家独大。

“光刻机之王”ASML的成功难以复制。ASML出身名门,由原本荷兰著名的电器制造商飞利浦公司半导体部门独立拆分出来,于2001年更名为 ASML。

在ASML背后,还有英特尔、三星、台积电、SK海力士等半导体巨头为其撑腰,只有投资了ASML,才能成为其客户,拿到光刻机产品的优先供货权。多方资本注入下,ASML也有了更多强化自身实力的机会:

2001年,ASML收购美国光刻机厂商硅谷集团获得反射技术,市场份额反超佳能,直追尼康

2007年,ASML收购美国 Brion 公司,成为ASML整体光刻产品战略的基石

2012年,ASML收购全球知名准分子激光器厂商Cymer,加强光刻机光源设备及技术

2016年,ASML收购台湾半导体设备厂商汉微科,引入先进的电子束晶圆检测设备及技术

2016年,ASML收购德国卡尔蔡司子公司24.9%股份,加强自身微影镜头技术

2019年,ASML宣布收购其竞争对手光刻机制造商Mapper知识产权资产。

在上文中提到,光刻机设备融合了多门复杂学科,不仅种类繁多,还要求是当前该领域最先进的技术,放眼当下没有任何一家公司敢说自己能在这些领域都做到最好。也就只有ASML能够不断通过自研、收购等方式,一步步走上神坛。

说出来很多人可能不信,我国最早研发光刻机的时候,ASML还没有出现。资料记载,1977年也就是中国恢复高考那年,我国最早的光刻机-GK-3型半自动接近式光刻机诞生,由上海光学机械厂试制。

80年代其实开了个好头,1981年,中国科学院半导体所成功研制出JK-1型半自动接近式光刻机样机。1982年国产KHA-75-1光刻机的诞生,估计跟当时最先进的佳能相比也就相差4年。1985年中国第一台分步投影式光刻机诞生,跟美国造出分布式光刻机的时间差距不超过7年。这些都说明当时中国其实已经注意到了投影光刻技术的重要性,只是苦于国内生产工艺尚不成熟,所以很难实现量产。

80年代末期,“造不如买”的思想席卷了大批制造企业,我国半导体产业研发进程出现了脱节,光刻机产业也未能幸免。

虽然后续一直在追赶国外列强的脚步,但产业环境的落后加上本来就与世界先进企业有差距,使得中国终究没有在高端光刻机领域留下属于自己的痕迹。

“眼看他起朱楼,眼看他楼塌了”,80年代初期奠定的中国光刻机产业基础就这样被轻视了。这也是为什么我国光刻机产业一直赶不上国外的原因,再加上光刻机制造所需要的各种零部件,也都受到不同程度的管制,如今想再追回来,实在太难。

中国高端光刻机正在路上

2001年, 科技 部和上海市于2002年共同推动成立上海微电子装备公司,承担国家“863计划”项目研发100nm高端光刻机。据悉,中电科四十五所当时将其从事分步投影光刻机团队整体迁至上海参与其中

2008年, 科技 部召开国家 科技 重大专项"极大规模集成电路制造装备及成套工艺"推进会,将EUV技术列为下一代光刻技术重点攻关的方向。中国企业也将EUV光刻机列为了集成电路制造领域的发展重点对象。

如今,国内从事光刻机及相关研究生产的除了上海微电子装备、合肥芯硕半导体、江苏影速集成电路装备以外,还有清华大学精密仪器系、中科院光电技术研究所、中电科四十五所等高校/科研单位。

在研发成果上,2016年,清华大学“光刻机双工件台系统样机研发”项目成功通过验收2016年,清华大学“光刻机双工件台系统样机研发”项目成功通过验收2018年,国家重大科研装备研制项目“超分辨光刻装备”通过验收,也是世界上首台用紫外光源实现22nm分辨率的光刻机,意义在于用便宜的光源实现较高的分辨率,用于一些特殊制造场景。

可以看到,在光刻机的自主研发进程上,中国也取得了很大的进步。但相对来说比较缓慢,要想真正研发出高端光刻机,需保证多个学科和领域的技术水平达到或者超过世界先进水平,任何一环节落下都会影响产品的性能。

这是美国的精准打击,有本事查查这个馊点子是如何出笼?我觉得正是我们50年代人掌舵时缺乏几乎所有科学知识,被自己权力切割,连同40后与60后的纽带一同切掉,30后已失能,40后除做房地产的尖子,其余趋向失能,50后是鸿沟的分界,权力中心做自然科学的极少,人才都是做买卖的,买不到自然只有造,说造,得创新,虽然少,但不乏有能做光学化学电学,机电一体化的,光电的组织能力,基本都要退休能要吗?后来60,70都是40,50教的,他们都缺乏系统边缘渗透交融能力,天天喊隔行如隔山,各霸一方,搞这种综合高 科技 设备既缺乏专业精通,又少有隔行合作的气量,包括航空发动机也一样,他瞄准了不打这,那打什么?

因为世界上的高端光刻机只有荷兰在生产,产量有限所以难买。光刻机融合了工业制造的几乎各个方面的高精技术所以也难造。

高端光刻机难买是因为以美国为首的西方国家对中国进行严密的技术封锁,难造是因为光刻机是高 科技 的集成产品,在我国基础如此薄弱的情况下还能取得如此成绩本身就是一个奇迹,假以时日,光刻机也会象盾构机一样被攻克。

难买是别个不想让你超越自己!难造是因为之前有配套设施没把它当回事!接下来重视起来了就不难造了!

有部分笔记本屏幕是用的OLED,比如ThinkPad X1 Yoga。

Dell出了一款4k分辨率的OLED显示器UltraSharp 30,价格为5000美元。

OLED成本问题是它要普及的最大障碍,另外技术的不成熟也使得OLED应用在桌面显示产品上会很多缺陷。 现在OLED电视还没有解决寿命和烧屏问题,这些问题在显示器上会更严重,因为显示器一般都是长时间开机,并且显示静止画面占多数,按照目前的面板素质估计用不了一年就寿终正寝了。


欢迎分享,转载请注明来源:内存溢出

原文地址: https://outofmemory.cn/dianzi/8623182.html

(0)
打赏 微信扫一扫 微信扫一扫 支付宝扫一扫 支付宝扫一扫
上一篇 2023-04-19
下一篇 2023-04-19

发表评论

登录后才能评论

评论列表(0条)

保存