国产半导体设备的新机遇与挑战

国产半导体设备的新机遇与挑战,第1张

半导体工业是电子工业的一个分支,本质上仍然是制造业。与网路产业不同的是,半导体产业仍然需要制造设备和工厂,有特定的产品要生产,并且需要设计、生产、包装、测试和销售。简单来说,整个产业链分为三大环节:上游公司定义与设计 芯片 、中流晶片制造芯片、下游厂商将芯片应用于个人电脑、手机等领域。

       产业链的上游是电子自动化设计(EDA)软件供应商和集成电路设计公司。EDA主要有三家Synopsys、Cadence和Mentor,公司在不同领域的专业知识,但业务也是交叉的,国内厂商有华达九天。设计公司有英特尔、高通、联发科技、博通等,国内设计公司有华为海斯、紫光占瑞和惠定科技等。

图1半导体产业链上游企业

        产业链的中间环节是由许多以晶圆制造商为核心的企业组成的。知名的晶片制造商包括英特尔、三星、台积电、格罗芬德和中芯国际,它们需要从设备制造商那里购买设备。此外,亦有需要向其他原料制造商购买制造晶片所需的消耗品。所购设备主要包括光刻机、蚀刻设备和沉积设备;采购的原材料主要包括单晶硅、光刻胶、湿式电子化学品、特种气体等。芯片生产完成后,将交给封装测试制造商对芯片进行测试和封装。包装企业是具有代表性的月光、安全和国内长期动力技术,通福微动力和天水华天。

图二:产业链中游企业

        下游企业是联系最广泛的公司,包括移动电话制造商苹果、三星、华为、特斯拉和比亚迪在汽车领域,联想和惠普在个人电脑领域。此外,还有物联网、医疗电子等应用。

图3:下游企业、芯片应用和具有代表性的公司

        半导体行业设备的头等大事,芯片节电的速度取决于工艺,工艺取决于设备。

        一、摩尔定律接近极限,集成电路技术成熟,产业成熟,成本和服务将决定成熟产业的核心竞争力。

       迈克尔·波特指出,在产业成熟的过程中,成本和服务将成为产业的核心竞争力。

       英特尔(Intel)联合创始人戈登·摩尔(GordonMoore)在1965年提出,当价格保持不变时,集成电路类的元件数量将每18至24个月翻一番,性能将翻一番。简单地说,在大约两年的时间里,消费者将能够以同样的价格购买性能是现在的两倍的芯片。在过去的40年里,集成电路工业的发展一直遵循摩尔定律,但它不可能永远持续下去。近年来,技术更新周期有所放缓。

图4摩尔定律预测了每个集成电路的晶体管数目。

       可以观察到,台积电2011年生产28 nm、2015年生产16 nm、2018年量产7 nm、20 nm和12 nm 10 nm以及其他升级的过度生产工艺。先进的工艺更新周期已经从最初的18个月减缓到2年,现在已经放缓到3年左右,未来5 nm甚至3 nm的更新周期可能会更长。

       直到2000年,在光刻市场上有三家供应商,即尼康、佳能和阿斯梅尔。目前,ASMAI家族是唯一留在20 nm的公司,另外两家由于研发和利润压力而放弃最新光刻技术的开发。其余的Asmae占光刻市场的80%。

图5:半导体工艺已慢慢接近物理极限

       这些迹象表明,集成电路制造工艺的进步越来越困难,集成电路产业正在从成长性向成熟性转变。在成熟的产业过程中,成本和服务将成为产业的核心竞争力。

       以成熟的传统汽车工业为例。2004年,该波导从南汽集团撤出。一年前,该公司获得了超过1亿元人民币的58股股份,以控制南汽集团无锡汽车车身有限公司。前后一年左右的对比如此之大,正是由于产业竞争策略的制定错误。不可否认,在2004年左右,中国的汽车工业仍然是一个积极的行业,而且这个行业已经以惊人的速度发展。我国庞大的人口和潜在的巨大需求一直是支撑着工业发展的巨大推动力,在一个快速增长的工业中。一个企业只需要伴随着工业的进步就行了,不需要太多的努力。这也许是《波导》进入汽车行业的原因,但随着汽车行业竞争的升温,无论是美国汽车巨头通用汽车和福特,还是德国大众和奔驰,以及日本的丰田和本田汽车,他们关注成本优势,同时也关注本土汽车企业,他们在中国市场上的竞争加剧,这减少了中国汽车行业巨大利润的泡沫。对于当时的汽车工业企业来说,汽车工业增长缓慢,客户多年来积累的知识和经验,以及更为成熟的技术,带来的结果是,竞争趋势变得更加注重成本和服务。这一发展改变了市场对企业在该行业取得成功的需求。

       这与过去三四十年来集成电路的发展非常相似,芯片的性能主要取决于设计技术和制造技术。在过去的二十年里,芯片随着制造技术的进步而不断进步,而设计技术并没有得到很大的更新。PC芯片仍然是以Intel公司为主导的X86体系结构,而复杂计算机指令集的CISC迁移则是由ARM体系结构主导的。采用精简的计算机指令集(RISC)。制造技术依赖于制造设备的技术进步,现在设备的进步已经接近半导体的物理极限。据专家预测,半导体芯片制造工艺的物理极限为2~3 nm。摩尔定律似乎是十年来唯一可以再做的事情&现状;生存与现状;。

       缓慢的增长、更多的知识客户和更先进的技术已经导致了竞争趋势变得更加以成本为导向和服务为导向。随着产品标准化、成本和技术成熟度的日益重视,产业转型往往出现明显的国际竞争。

      在国际竞争中,国内企业的劣势在于起步较晚,但从后来的分析中我们可以看出,企业之间的差距正在逐年缩小。现在差距大约是2 - 3年。优点是(1)低。研发成本,制造成本和技术支持成本(2)所有研发人员和技术支持人员均在中国,可以提供更及时,更低成本的现场技术支持。 (3)研发人员更贴近国内市场,了解客户需求,并提供定制服务

       1。成本优势:国内企业在研发成本和原材料成本方面具有绝对的竞争优势。

      所有国际设备制造商都在中国设有办事处。他们主要负责各种生产线的设备销售和技术支持工作。它们不涉及研发和制造。众所周知,信息和通信技术行业的硕士学位毕业生每年在家领取20万至40万元人民币。在美国等发达国家,这一数字将增至80,000美元至100,000美元,是国内水平的两倍以上。设备巨头asml每年的营收占总收入的10%至15%。近年来,由于进程日益先进,这一数字有所增加。生产中原材料的成本占经营成本的50<垃圾&GT-60<垃圾&GTlt垃圾&GT想到未来在设备更新缓慢,我们在人为研发成本上的绝对成本优势,和原材料价格,当国内半导体设备会发光。

      2。服务优势:国内企业可提供更完善、更方便的现场技术支持,增加客户粘性。

       外资企业的高服务成本已成为国内企业的共识。在这方面,国内企业可以依靠本地优势,提供更及时、更低的售后服务费用,以改善下游客户对公司的粘度和满意度。今后,公司应在不断拓展市场的基础上,努力构建和完善大客户的服务体系。具体措施包括为特定重点客户量身定制服务方案,在国内集成电路产业集中的地区建立综合工艺和技术支持中心,以及人员和技术的快速反应。为客户提供更完善、更方便、更及时的增值服务等。

       在行业竞争需要密集的本地化营销服务或密集的客户交易的市场中,全球公司将难以在综合的全球基础上与本地竞争者竞争。虽然全球公司在分散的单位中为客户提供服务,但在实施过程中,管理任务非常庞大,但本地公司对客户服务请求的响应能力更强。

       3.市场优势:研发人员更贴近国内市场,了解客户需求,提供定制化服务。

       先进的工艺不能由设备制造商单独完成,而是设备和制造商联合研发的结果。国内设备的研发人员在国内,国际制造商不能这样做。除了提供技术支持外,国际制造商的技术支持人员还需要将遇到的问题发送给公司的研发人员进行改进。优化设备.所以我们往往更贴近国内的客户,更了解国内生产线的客户需求。

二、新型合作竞争关系

      值得注意的是,传统的企业竞争模型只提到了企业与五种力量之间的竞争,而没有考虑到企业与五种力量之间的合作。在某些环境中,这些企业既有竞争关系,也有合作关系。如果一种产品或服务能使另一种产品或服务更具吸引力,那么就可以称之为互补产品或服务,两个企业之间的关系已经从竞争转变为合作。如何区分两个企业是否形成了合作与竞争的关系?一般来说,如果顾客同时拥有两家公司的产品比同时拥有一家公司的产品获得更多的价值或更少的成本,那么这两家公司就是互补的。

      成功的例子包括:汽车在上个世纪是一种昂贵的产品,而消费者想要购买汽车时却没有足够的现金。目前,银行信贷机构已成为企业公司的补充,后者向消费者提供贷款,并为他们购买汽车提供资金。但是汽车贷款并不容易获得,因此通用汽车公司在1919年创立了通用汽车公司,福特公司在1959年成立了福特银行,以使消费者更容易获得贷款。这样做的好处是显而易见的:方便的贷款是人们可以购买更多的汽车,而对汽车的需求的增长促进了福特和通用汽车的贷款业务。

       即使处于互补竞争关系的两家公司技术落后,它们也会获得一定的优势。没有合作伙伴的人如果拥有技术优势,就不一定会成功。例如,索尼于1975年推出了Betamax格式录像机。它曾经是电视录制领域的主导者。在美国多久,日本JVC开发了VHS格式录像机。尽管Betamax在技术的某些方面比VHS更强大,但Betamax格式录像机可以租用的电影数量太少,最终丢失,市场份额占JVC的60%。

        国产设备+中鑫国际华润设备与中国合作,为进一步赢得国际市场打下基础

       amat通过与台积电、英特尔和其他晶圆工厂的合作取得了技术突破。国内企业可以与中芯国际紧密合作,共同促进国内设备的发展。例如,中芯国际和北方的中国创都是国内公司。要在国际市场上发挥更大的作用,就必须相互支持、相互帮助。北芳华可以为中心提供低成本的设备和更好的服务。反过来,中芯国际稳定的制造过程可以给Beifanghua带来产品验证支持和广告效果(高品质客户的身份也可能带来广告效果,使公司销售设备,这对半导体设备来说应该是昂贵的。因此,晶圆制造商倾向于选择那些在扩大生产线方面已经得到国际制造商验证的设备公司。

       目前,一些设备制造商与中芯国际的合作并不局限于设备的验证阶段。为了加快半导体生产线的国产化和替代进程,上下游厂商开始在早期研发过程中进行合作。正是在中芯国际等晶圆厂的大力帮助下,国产设备才能在短期内实现多项技术突破,进入国内先进晶圆厂乃至国际制造商的供应链系统。加快设备国产化和更新换代进程。

        三是以历史为镜,把握产业转移的大趋势,规划新的市场。

应用材料(AMAT)

       回顾AMAT增长的历史,从1972年纳斯达克上市开始,收入为630万美元,市值仅为300万美元,而52年后,今天的收入为170亿美元,市值超过410亿。 AMAT在此过程中经历了四个主要阶段:启动期,增长期,并购调整期和研发领导期。其中,确定其生存,生存和大发展的时期是前两个时期。

       (1)在最初阶段,从1967年到1979年,Amat的主要业务是向半导体制造商提供他们所需的原材料。然而,由于产品种类繁多,Amat一度濒临破产。1977年,新上任的首席执行官Morga进行了一系列激烈的改革,精简了生产线,关闭或出售了一些部门,并集中精力生产半导体设备。这些措施效果明显,企业在危机中幸免于难。

       (2)增长时期:1979-1996年,1970年代,全球半导体工业开始向美国以外的市场转移,首先是日本,然后是韩国和台湾。1977年,Morga决定搭乘参加日本半导体设备展览会后返回的飞机进入日本市场。此后,分别于1985年和1989年在韩国和台湾设立了办事处。该公司过去20年的全球布局使其在1996年实现了41.15亿美元的收入。

       泛林集团(Lrcx)也有前瞻性的眼光,全球新兴市场的布局。

       大卫·K·林,一位工程师,成立于1980年,由英特尔的鲍勃·诺伊斯资助。第一台设备于1982年售出,该公司于1984年在纳斯达克首次公开募股(IPO)。目前,总市值接近300亿美元,2018年的收入为48亿美元。

       它没有经历与代工半导体市场相同的竞争。在其创立的第一年,它吸引了80万美元的投资。在第三年,它有稳定的现金流。它诞生于20世纪80年代,正处于将半导体市场从美国转移到海外的阶段。除了LAM当时在半导体设备行业中具有很强的竞争力之外,其成功还归功于20世纪80年代日本半导体行业对设备的巨大需求。当时,除个人电脑外,还使用半导体产品,以及移动电话,立体声系统(功率放大器),汽车和电话。

      事情并不总是顺利的。在80年代中后期,林正处于一个艰难的时期,尽管半导体设备的市场需求持续增长,但日本企业从技术引进、消化吸收等方面逐渐增强。日本从70年代末的零开始,到80年代中期已经占到全球设备销售额的50%。后来,美国半导体设备公司进行了业务重组等改革,提高了生产效率,并更加注重大容量设备的开发,更注重研究专利技术的发展。

       当时,前瞻性的林氏管理层注意到新兴小市场的销售增长。从1980年代末到1990年代初,它开始了更广泛的全球布局。这一时期的重点是环太平洋和欧洲市场。海外收入占50%以上。日本住友金属工业有限公司。。。(smi)联合开发蚀刻机器,建立了一个完整的子公司:lam技术中心;1980年代中期,在台湾和韩国建立了客户支持中心;直到1990年代初,lam在中国、马来西亚和以色列也看到了增长的机会。并考虑建立研发中心。

值得借鉴的经验有:

1。战略遵循产业转移进行全球布局

        巨人的成长离不开两种产业转移。上世纪七、八十年代,日本在工业DRAM产品的高可靠性和美国的技术支持下取得了飞速发展,占DRAM市场的近80%,占半导体市场的近50%。另一次是在上世纪八九十年代,韩国通过引进技术成为个人电脑DRAM的主要供应商,而台湾则在垂直分工领域的晶片合约制造和芯片封闭测试方面处于领先地位。

 2.与新兴市场的当地企业和大学建立合作伙伴关系

        Amat在日本、韩国、台湾、东南亚和欧洲建立了广泛的公司和机构,抢占市场第一。在大学方面,我们与新加坡科技局投资了多个研发实验室,并与亚利桑那州立大学联合开发了用于柔性显示器的薄膜晶体管技术。在企业方面,2001年,我们共同研究了使用黑钻石方案来突出0.1um晶体管,并推动了0.13um芯片的技术节点。2003年,ARM与台积电共同开发了90nm低功耗芯片设计技术,使总功耗降低了40%。

        林书豪与清华大学合作设立了泛森林小组清华大学微电子论文奖,捐赠了实验室设备,并提供了就业机会。

iv。政府、财政支援及税务宽减,三管齐下

       落后是要克服的,现在的理解是,在电子信息技术领域,落后受到技术封锁和国家安全的威胁。如果一个国家想被喉咙挡住,它就必须发展关键技术,而不是被其他国家控制。近年来,我国在应用领域取得了巨大的成就。20多年来,以BAT为代表的企业引领了科学技术的发展趋势,但在基础科学领域,我们还没有实现核心芯片技术的自我完善。包括设计和制造领域,而制造领域的成功取决于设备。

        政策支持反映了该行业的重要性,国家必须以坚定的决心发展半导体产业

       政府对半导体工业的政策支持正在增加。今年3月,在第十三届全国人民代表大会第一次会议上,李总理根据“02专项”、“国家集成电路产业发展促进计划”等重大政策,在讨论实体经济发展问题时,把集成电路产业放在实体经济第一位。在政府工作报告中。3月底,财政部发布了《关于IC厂商企业所得税政策的通知》,给予IC企业税收优惠,表明了政府对半导体产业发展的坚定态度。

图5:政府对半导体行业的支持政策

      二期大型基金即将募集,全国产业基金总额突破万亿元。计划一期,大型基金募集资金1000亿元,实际募集资金1387亿元,实际投资超过1000亿元。此外,这只大型基金还投资了3600亿多家地方工业基金。总计5000亿元的半导体产业基金,以较高的资本投入,为半导体产业的发展提供了有力的支持。目前,第二阶段的大型基金正在设立,并将在年底前完成。预计将筹集1,500亿至2,000亿美元(一些外国媒体也透露,筹资额可能达到3,000亿美元)。按1:3的比例计算,二期大型基金还将举债4500亿至6000亿元地方产业基金,国家半导体产业基金总额突破万亿元。作为中国最有希望承担替代中国制造半导体设备任务的企业,微电子、上海微电子、北方华昌等企业必将充分受益于政府对该行业的支持红利。

      财政部、国家税务总局、科技部联合在财政部网站上出台新政策,扣除研发费用,研发费用税前扣除比例由50%提高到75%。同时,将原科技企业的扣除范围扩大到所有企业。利润增幅最大的企业主要集中在机械、计算机、电子元器件等行业。事实上,在一些行业,特别是集成电路行业,每年的研发成本、研发开支甚至占营运收入的一半以上,而增加研发开支的税前扣减比例,无疑会释放减税的红利。

5.设备行业继续强劲增长,晶圆厂建设高峰期导致设备需求增加。

       设备制造商位于半导体产业链上游,为生产线提供晶圆制造设备。2017年,全球半导体设备市场销售额达到492.4亿美元,年均增长率稳定在10%以上。从2016年到2020年,全球共建成62家晶圆厂。此外,中国正在建设和规划26家12英寸晶圆厂,占世界的42%。因此,近年来,我国工厂建设出现了小高峰,设备需求巨大,国际企业设备产量有限,这是扩大市场份额的好时机。

全球半导体市场销售额

         2017年全球半导体设备市场销售额达到492.4亿美元。2016年至2020年,陆续建成62座晶圆工厂。设备销售年均增长率超过100亿。近年来对设备的需求将达到一个小高峰。

图六:全球半导体市场销售及其增长率

        从国内实际市场看,从2018年到2020年,国产设备企业每年仍有500亿至70亿美元的潜在市场份额。

       从国内市场来看,国内市场销售额从2013年开始持续增长,年增长率保持在20%以上,远远超过国际市场10%以上的增速。 2016年至2020年,中国将有26家晶圆厂,将建成并投入生产,占全球在建晶圆厂数量的42%,成为全球新晶圆厂最活跃的地区。另外,从国内市场的设备销售比例可以看出,这个数字正在缓慢而稳步上升。 2016年,中国半导体设备市场规模为64.6亿美元,2017年销售额为82.3亿美元。据SEMI称,2018年将达到113亿。在过去三年中,每年的增长率接近30%。

       购买新晶圆厂设备的费用将占生产线的70%,其余为基础设施费用。从2016年到2018年,8至12个12英寸晶圆厂正在建设中。根据Semi对2018年100亿美元设备市场的预测,晶圆制造工艺占80%,光刻机占制造工艺的30%。剩余的市场是国内潜在的国产设备总市场,100-80%(1-30%)=56亿。据推测,从2018年到2020年,每年仍有50亿至70亿美元的潜在市场份额。

图七:半导体设备在国内市场的销售和增长情况

       近几年国内装备技术进步与市场对装备的强劲需求

       国内设备凭借深厚的技术积累填补了国内半导体设备领域的一些技术空白,产品已能够满足12英寸、90~28 nm工艺生产线的生产要求,部分设备批量进入中芯国际等国内主流集成电路生产线进行批量生产。展望未来2-3年,设备需求将迎来2019年90/65/55/40 nm工艺生产线设备采购高峰。而国内仓储企业将在2020年前后扩大生产设备采购高峰。

图8:国内建造/正在建造的晶圆生产线

目前, 我国半导体市场供需两层不匹配,国产化率亟需提升 。一方面,终端产品供需不匹配。 2018年中国集成电路市场规模1550亿美元,但国产集成电路规模仅238亿美元,国产化率仅约15%;另一方面,制造端的设备供需不匹配。国内半导体设备市场规模约145亿美元,但国产设备规模仅14亿美元不到,国产化率仅约10%。因此,从产业发展的角度,一方面,国内半导体制造领域仍有较大发展空间;另一方面,制造领域的设备仍有较大的国产提升空间。

我们推荐中信建投的研究报告《半导体设备国产进程加速》,解析半导体国产化现状,政策、资金、产业等推动因素,并讨论半导体设备市场格局与国产化进度。如果想收藏本文的报告(半导体设备),可以在智东西公众号回复关键词“nc404”获取。

一、提升国产化率刻不容缓

1、 我国半导体市场规模和占比不断提升

2010年起,全球半导体行业保持稳步增长,过去十年( 2009-2018年)全球半导体销售额CARG为7.55%,全球GDP CAGR为3.99%,而我国集成电路销售额CARG为25.03%,我国行业整体增速为全球半导体行业增速的3.3倍,而全球半导体行业整体增速是全球GDP增速的2倍左右;

与此同时,在PC、智能手机等领域强大的整机组装制造能力使我国成为全球最大的半导体消费市场,在全球占比达到了33%,比第二名的美洲高出11个百分点,我国半导体市场无论是绝对规模增速还是占比都不断提升。

▲我国半导体规模和占比不断提升

▲2018年全球半导体产业市场规模分布

2、 我国半导体市场供需不匹配

一方面,终端产品供需不匹配。2018年中国集成电路市场规模1550亿美元,但国产集成电路规模仅238亿美元,国产化率仅约15%;

另一方面,制造端的设备供需不匹配。2018年中国半导体设备市场规模达到131.1亿美元,但据中国电子专用设备工业协会统计, 2018 年国产半导体设备销售额预计为 109 亿元,自给率仅约为12%。考虑到以上数据包括集成电路、 LED、面板、光伏等设备,实际上国内集成电路设备的国内自给率仅有 5%左右,在全球市场仅占 1-2%份额。半导体设备进口依赖长期看将严重阻碍中国半导体行业的自主发展,国内需求与国内供给的缺口昭示着巨大的国产化空间。

▲2018年国产半导体集成电路自给率仅15%

▲2018年国产半导体设备自给率仅12%

3、 贸易战对我国半导体核心技术“卡脖子”

美国制裁中兴华为反映创新“短板”,华为事件影响深远,引发全球半导体供应链“地震”,暴露出核心技术被“卡脖子”的风险,催化国内半导体等核心科技领域发展,国产自主可控替代有望加速;

半导体行业产业链中上游为我国薄弱环节,其中上游半导体设备和中游制造对美依存度高,核心领域国产芯片占有率多数为0%;相比之下,中游封测和下游终端市场领域对美依存度小,受到影响相对较小。

▲半导体产业链受贸易战影响分化

4、 后贸易战时期,国内半导体设备厂商的一些变化

设备企业前瞻布局非美国地区零部件采购 。一般来说,半导体设备的零部件分为四大部分。在这四大类中,精密加工件、普遍加工件现在基本没有制约,通用外购件(包括接头、气缸、马达等)占比比较小,因此现阶段供应管理关注的重点是外购大模块, 包括设备专用模块和通用模块(机械手、泵等)。外购大模块数量上占比不高,可能只有10-20%,但价值占比60-80%;

所以我们讲零部件的国产化,主要是讲外购大模块的国产化。预防产业风险和成本控制需要通过对外购大模块进行供应链拓展、批量采购等方式实现。

▲外购大模块受产业影响风险较大

大部分品类现阶段国内基础差,没有成熟技术,没有产品。从进口比例来看,前十大子系统供应商中,美国市场和日本市场占比最高。设备企业正逐渐将采购链条从美国转移至日本、英国等地区。

▲前十大零部件采购需求占比及前十大子系统供应商占比

二、国产化的推动因素

1、 全球半导体行业景气度有望触底回暖

理论上看,全球半导体行业具有技术呈周期性发展、市场呈周期性波动的特点 。1998~2000年,随着手机的普及和互联网兴起,全球半导体产值不断上升,尤其在2000年增长38.3%;随着互联网泡沫的破裂, 2001年全球半导体市场下跌32%;随后Window XP的发布,全球开始新一轮PC换机潮,半导体市场2002~2004年处于高速增长阶段;2005年半导体市场出现了周期性回落, 2008年和2009年受金融危机的影响出现了负增长;

2010年,随着全球经济的好转,全球半导体产值增长34.4%。2011-2012年受欧债危机、美国量化宽松货币政策、日本地震及终端电子产品需求下滑影响,半导体销售增速分别下降为 0.4%和-2.7%;

2013年以来, PC、手机、液晶电视等消费类电子产品需求不断增加,全球半导体产业恢复增长,增速达 4.8%。2014年全球半导体销售市场继续保持增长态势,增速达 9.9%;2015-2016年,全球半导体销售疲软。

2017年,随着AI芯片、 5G芯片、汽车电子、物联网等下游的兴起,全球半导体行业重回景气周期。

2018年下半年,受到存储器价格下降、全球需求疲软和中美贸易战的影响,全球半导体发展动力不足。但展望2019年下半年,受益于消费领域、智能手机需求回暖,全球半导体市场发展趋稳并有望实现增长。

2、 上游半导体设备销售有望随之向好

数据上看, 2019年全球半导体设备销售同比负增长, 2020年将大幅反d 。2018年,全球半导体设备销售额达645亿美元,同比增速高达14%,创下历史最高;受到多因素影响, 2019年半导体设备厂商短期承压, SEMI预计2019年全球半导体设备销售下降18.4%至529亿美元。

展望2020年,由于存储器投资复苏和在中国大陆新建及扩建工厂, SEMI预计半导体制造设备2020年的全球销售额为588亿美元,比2019年增长12%。其中,包括外资工厂在内的对中国大陆销售将达到145亿美元, 预计中国大陆成为半导体制造设备的最大市场。

3、 我国政策、资金、市场环境三面扶持

对标海外:政策支持、资金帮扶、下游产业支撑是推动行业进步不可或缺的几个方面 。 80年代工业PC时代,日本半导体以存储器(DRAM为主)为切入口,在日本政府和产业界联合推动下,吸收美国技术并整合日本工业高质量品控体系,实现IC产品超高可靠性,顺利实现赶超美国;

90年代消费电子大潮,韩国半导体在韩国政府和财团的共同推动下,积极开拓高性价比IC产品,带动亚洲电子产业链崛起,实现了长达20多年的持续崛起。而此时的台湾则通过创新的产业模式,从IDM转为垂直分工,依靠大量投资建成了世界领先的晶圆代工厂台积电和联电,在技术水平上达到世界顶尖;

▲政策支持、资金帮扶、下游产业支撑是推动行业进步不可或缺的几个方面

政策:产业政策频发,彰显扶持半导体产业决心 。“十二五”期间,政府开始大力支持IC产业发展,先后出台了《国家IC产业发展推进纲要》 和“国家重大科技专项”等政策。其中以2014年发布的纲要最为详细,被视为国家为IC产业度身定制的一份纲要,明确显示了政策扶持半导体产业的决心。

2014年9月,国家IC产业基金正式成立。以直接入股方式,对半导体企业给予财政支持或协助购并国际大厂。

目前我国半导体产业的自给率才只有不到15%, 《中国制造2025》 的目标是2020年自给率达40%,2050年达到50% 。

▲根据规划, 2015-2020年, IC产业产值CAGR达20%以上

资金:截至2018年5月,一期大基金已累计投资70个项目,承诺出资1200亿,实际出资1387亿 。已实施项目覆盖设计、制造、封装测试、设备、材料、生态建设各环节;一期大基金主要投向芯片制造环节,占全部承诺投资额的67%,目前已经支持了中芯国际、上海华虹、长江存储等;在设计领域,大基金主要在CPU、 FPGA等高端芯片领域展开投资,占承诺投资额的17%;在封装测试产业方面,大基金则重点支持长电科技、华天科技、通富微电等项目,占承诺投资额的10%;

相比之下,大基金在装备和材料环节的投资规模和力度要小很多,但仍然在推进光刻、刻蚀、离子注入等核心装备抓住产能扩张时间窗口,扩大应用领域。

▲国家大基金资金主要投向集成电路制造环节

资金:大基金二期募资规模2000亿左右,加强设备领域投资 。

▲二期大基金将加强设备领域投资

资金:大基金撬动地方基金,集成电路产业正迎来密集投资期 。IC产业属于资本开支较重的产业,“大投入,大收益;中投入,没收益,小投入,大亏损” ; 全球看,每年半导体资本开支接近600亿美元,而英特尔、台积电、三星等巨头每年的资本开支均在100 亿美元左右,只凭大基金的支持仍然投入有限; 根据我们的统计,除了规模近1400亿的大基金之外,各集成电路产业聚集的省市亦纷纷成立地方集成电路基金,截至到2019年4月,全国有15个以上的省市成立了规模不等的地方集成电路产业投资基金,总计规模达到了5000亿元左右。通过大基金、地方基金、社会资金以及相关的银行贷款等债券融资,未来10年中国半导体产业新增投资规模有望达到10000亿元水平。

▲中国各省市开始密集投资布局半导体产业

市场:大陆建厂潮为半导体设备行业提供了巨大的市场空间 。根据SEMI发布的全球晶圆厂预测报告预估, 2017 -2020年的四年间,全球预计新建 62 条晶圆加工线,其中中国大陆将新建26座晶圆厂,成为全球新建晶圆厂最积极的地区,整体投资金额预计占全球新建晶圆厂的 42%,为全球之最。

市场:大陆半导体资本开支持续增长,拉动半导体设备发展 。当前大陆成为全球新建晶圆厂最积极的地区,以长江存储/合肥长鑫为代表的的存储器项目和以中芯国际/华力为代表的代工厂正处于加速扩产的阶段,预计带来大量的设备投资需求。

三、半导体设备市场竞争格局与国产化进度

1、IC制造流程复杂,大多数设备被国外厂商垄断

晶圆制造(前道,Front-End) :

▲晶圆制造环节具体设备及主要厂商封装(后道,Back-End )测试 :

▲封装测试环节具体设备及主要厂商

全球集成电路装备市场总体高度垄断 。特点:技术更新周期短带来的极强技术壁垒,市场垄断程度高带来的极大市场壁垒,以及客户间竞争合作带来的极高认可壁垒。因此,集成电路装备市场高度垄断,细分市场一家独大;从分布看,全球前十大集成电路装备公司基本上被美国、日本、欧洲企业占据; 从比例看,全球前十大拿走行业80%的份额;应用材料(美国)、 ASML(荷兰)、 TEL东京电子、泛林(美国)、科磊(美国)位列前五,前五名拿走68%的份额;前30拿走92%的份额,前20拿走87%的份额。

▲全球IC装备市场高度垄断

全球IC制造细分设备市场也高度垄断 。从细分设备来看,每个具体设备基本上大部分份额被前三大企业占据,基本上都是80-90%的份额; 前三大厂商中,也基本都是一家独大,第一占据了40-50%的份额。

▲细分设备市场也高度垄断

我国集成电路装备市场高端占比偏小,且大部分为国外厂商 。2018年中国半导体设备市场规模达到131.1亿美元,但据中国电子专用设备工业协会统计, 2018 年国产半导体设备销售额预计为109亿元;预计2020年中国半导体设备总市场规模将超1000亿。

▲国内厂商规模普遍较小,且大部分在光伏、 LED领域占比较高

边际变化:在诸多工艺环节中,开始出现了一些国产厂商 。分地区看,形成三个产业集群:北京:北方华创、中电科集团、天津华海清科(CMP);上海:上海微电子、上海中微半导体、上海盛美、上海睿励科学仪器;沈阳:沈阳拓荆、沈阳芯源;

▲主流65-28nm客户不定量的采购的12类设备清单

▲国内已有9项应用于14nm的装备开始进入生产线步入验证

75-80%的资本开支使用在设备投资里,设备投资中的70-80%在晶圆制造环节设备里 。光刻设备、刻蚀设备、薄膜设备( ALD/CVD 53%、 PVD 47%)占比最高,分别20-25%、 25%、 20-25%;扩散设备、抛光设备、离子注入设备各占设备投资的5%,量测设备占设备投资的5~10%。

▲晶圆生产线各类设备投资占比

2、 光刻设备:光刻机是生产线上最贵的机台, ASML全球领先

光刻工艺是最复杂的工艺,光刻机是最贵的机台 。主流微电子制造过程中, 光刻是最复杂、昂贵和关键的工艺,占总成本的1/3;目前的28nm工艺则需要20道以上光刻步骤,耗费时间约占整个硅片工艺的40~60%。光刻工艺决定着整个IC工艺的特征尺寸,代表着工艺技术发展水平;

具体流程: 首先要在硅片上涂上一层耐腐蚀的光刻胶,随后让强光通过一块刻有电路图案的镂空掩模板照射在硅片上。被照射到的部分(如源区和漏区)光刻胶会发生变质,而构筑栅区的地方不会被照射到,所以光刻胶会仍旧粘连在上面。接下来就是用腐蚀性液体清洗硅片,变质的光刻胶被除去,露出下面的硅片,而栅区在光刻胶的保护下不会受到影响。

光刻机是生产线上最贵的机台,千万-亿美元/台。主要是贵在成像系统(由15~20个直径为200~300mm的透镜组成)和定位系统(定位精度小于10nm)。一般来说一条产线需要几台光刻机,其折旧速度非常快,大约3~9万人民币/天,所以也称之为印钞机。

ASML占据70-80%市场份额,且领先地位无人撼动 。荷兰ASML占据超过70%的高端光刻机市场,且最新的产品EUV光刻机售价高达1亿美元,依旧供不应求。紧随其后的是Nikon和Canon。 光刻机研发成本巨大, Intel、台积电、三星都主动出资入股ASML支持研发,并有技术人员驻厂;格罗方德、联电及中芯国际等的光刻机主要也是来自ASML;

国内光刻机厂商有上海微电子、中电科集团四十五研究所、合肥芯硕半导体等。在这几家公司中,处于技术领先的是上海微电子,其已量产的光刻机中性能最好的是90nm光刻机。由于技术难度巨大,短期内还是处于相对劣势的地位。

▲1970年起,光刻机价格每4.4年翻一倍

3、 刻蚀设备:机台国产化率已达15%

国产刻蚀机的机台市场份额已约15% 。工艺流程: 所谓刻蚀,狭义理解就是光刻腐蚀,先通过光刻将光刻胶进行曝光处理,然后通过其它方式实现腐蚀处理掉所需除去的部分。刻蚀可分为干法刻蚀和湿法刻蚀。显而易见,它们的区别就在于湿法使用溶剂或溶液来进行刻蚀。

刻蚀设备分类: 在8寸晶圆时代,介质(40%)、多晶硅(50%)及金属刻蚀(10%)是刻蚀设备三大块;进入12寸后,随着铜互连的发展,介质刻蚀份额逐渐加大,目前已近50%;

中微半导体的16nm刻蚀机已实现商业化量产并在客户的产线上运行, 7-10nm刻蚀机设备以达到世界先进水平。截至2018年末,中微半导体累计已有1100多个反应台服务于国内外40余条先进芯片生产线。目前中微产品已经进入第三代10nm、 7nm工艺(台积电), 5纳米等离子体刻蚀机已经台积电验证;除中微外,北方华创在硅刻蚀机方面也有突破。

4、 成膜设备:机台国产化率约10-15%

成膜设备分两大类, 机台市场份额约10-15% 。工艺流程: 在集成电路制备中,很多薄膜材料由淀积工艺形成。主要包括化学气相 (CVD)淀积和物理气相淀积 (PVD)两大类工艺; 一条投资70亿美元的芯片制造生产线,需用约5亿美金采购100多台PECVD设备; 从全球范围看, AMAT在CVD设备和PVD设备领域都保持领先;北方华创、中微公司等企业等小有突破:其中北方微电子的PVD可用于28nm的hard mask工艺,并且可以量产;中微两条线推进CVD,一方面中微应用于LED领域的MOCVD市占率已经全球领先 ,另一方面投资沈阳拓荆,完善产品线布局。

▲AMAT在CVD设备和PVD设备领域都保持领先

▲总体看, PVD是国产化进展较快的一类设备

5、 检测设备

半导体中的检测可分为前道量测和后道测试两大类 。其中前道检测更多偏向于外观性/物理性检测,主要使用光学检测设备、各类inspection设备;后道测试更多偏向于功能性/电性测试,主要使用ATE设备及探针台和分选机;从价值量占比看,前道量测设备也可称为工艺控制检测设备,是晶圆制造设备的一部分,占晶圆制造设备投资占比约10%;后道测试设备独立于晶圆制造设备,占全部半导体设备比例约8%。

▲可以简单把加工过程划分为前道晶圆制造与后道封装测试

▲量测设备和测试设备属于两个不同环节

前道晶圆量测(Wafer Metrology)主要在wafer制造环节。在芯片制造过程中,为了保证晶圆按照预定的设计要求被加工,必须进行大量的检测和量测,包括芯片线宽度的测量、各层厚度的测量、各层表面形貌测量,以及各个层的一些电子性能的测量;用到的设备:缺陷检测设备、晶圆形状测量设备、 掩膜板检测设备、 CD-SEM(微距量测扫描式电子显微镜)、显微镜等。

后道测试主要在封测环节,分为中测和终测 。后道中测(CP, circuit probe),主要在芯片封装前: 主要是测试整个晶圆片(wafer)上每个芯粒(die)的逻辑。简单来说, CP是把坏的Die挑出来并标记出来,后续只封装好的die。这样做可以减少封装和测试的成本,也可以更直接的知道Wafer的良率。用到的设备:测试机(IC Tester / ATE)、探针卡(Probe Card)、探针台(Prober)以及测试机与探针卡之间的接口等。

后道终测(FT, final test),主要在芯片封装后:测试每颗封装好的芯片(chip)的逻辑。简单来说, FT是把坏的封装好的chip挑出来,可以直接检验出封装环节的良率;用到的设备:测试机(IC Tester)、分拣机/分类机(Handler)等。

测试设备三大设备之ATE竞争格局:测试设备包括三大类:测试机、探针台、分选机,其中测试机市场空间占比过半;全球集成电路测试设备市场主要由美国泰瑞达和日本爱德万占据,两者总体合计市占率超过50%。细分来看,在测试机市场中, SOC测试机、存储器测试机的市场占比合计近90%,而爱德万+泰瑞达的市场份额超过80%;目前国内已经装配的测试系统主要偏重在低档数字测试系统、模拟及数模混合测试系统等,领先厂商包括长川科技、华峰测控、上海中艺等。本土厂商在中高档测试能力部分目前仍十分薄弱,尚无法与国外业者相抗衡(包括爱德万Advantest、泰瑞达Teradyne、 Verigy、居诺JUNO半导体等)。但目前国产中、高档测试系统已经研制成功,正进入小批量生产阶段。上市公司中,国产厂商长川科技正全面布局数模混合、模拟、数字信号测试机+探针台;精测电子已布局memory ATE和面板驱动IC ATE,期待后续产品出货。

测试设备三大设备之探针台竞争格局:探针测试台(Prober)是前后道工序之间用于对半导体器件芯片的电参数特性进行测试的关键设备,它可以将电参数特性不符合要求的芯片用打点器(INKER)做一明显标记, 便于在后道工序中及时将其剔除, 这样就有效地提高了半导体器件生产的成品率,大大降低器件的制造成本。在具体测试的时候,晶圆被固定在真空吸力的卡盘上,并与很薄的探针电测器对准,同时探针与芯片的每一个焊盘相接触。 电测器在电源的驱动下测试电路并记录下结果。 测试的数量、顺序和类型由计算机程序控制。

一般来说,探针台的单价在百万级别,远高于分选机。根据统计,探针台的市场份额约占总测试机+探针台+分选机的市场空间的15-20%左右。以东京电子(TEL)为代表的厂商雄霸全球探针测试设备市场,而国内厂商中,长川科技已有探针台产品布局。

智东西认为,国内集成电路设备的国内自给率仅有 5%左右,在全球市场仅占 1-2%份额,而且,产业链中上游核心领域芯片多数占有率基本为0%。半导体设备进口依赖长期看将严重阻碍中国半导体行业的自主发展,国内需求与国内供给的缺口昭示着巨大的国产化空间。集成电路领域对外依赖十分严重,现在,集成电路已经成为我国进口金额最大的产品种类,进出口的贸易逆差逐年扩大,逆差增速还在持续提升。但是,在资金、政策、市场环境三方面利好下,市场格局正在发生深刻的变化,希望在未来的5-10年内,半导体行业被“卡脖子”的局面不复存在。


欢迎分享,转载请注明来源:内存溢出

原文地址: https://outofmemory.cn/dianzi/9181280.html

(0)
打赏 微信扫一扫 微信扫一扫 支付宝扫一扫 支付宝扫一扫
上一篇 2023-04-25
下一篇 2023-04-25

发表评论

登录后才能评论

评论列表(0条)

保存