进军科创板融资120亿,国内第三大晶圆代工厂崛起?

进军科创板融资120亿,国内第三大晶圆代工厂崛起?,第1张

(文/陈辰 编辑/尹哲)众所周知,芯片制造主要简单分为设计、制造和封装三大环节。其中,芯片制造是国内半导体被“卡脖子”最重要的环节。

近年来,随着产业发展及国际形势变化,中芯国际一度成为“全村的希望”。因此,在一路“绿灯”下,中芯国际顺利登上科创板,成为国内晶圆代工第一股。

如今,继中芯国际之后,第三大晶圆代工企业——合肥晶合集成电路股份有限公司(下称“晶合集成”)也拟进军科创板,以实现多元化发展。

5月11日,晶合集成的首次公开发行股票招股书(申报稿)已获上交所科创板受理,并于6月6日变更为“已问询”状态。

招股书显示, 公司拟发行不超过5.02亿股,募集资金120亿元,预计全部投入位于合肥的12英寸晶圆制造二厂项目。

根据规划, 募投项目将建设一条产能为4万片/月的晶圆代工生产线,主要产品包括电源管理芯片(PMIC)、显示驱动整合芯片(DDIC)、CMOS图像传感芯片(CIS)。

图源:晶合集成招股书,下同

自12英寸晶圆制造一厂投产以来,晶合集成主要从事显示面板驱动芯片代工业务,产品广泛应用于液晶面板领域,其中包括电脑、电视和智能手机等产品。

与此同时,随着产能持续抬升以及工艺不断精进,晶合集成的营业收入实现高速增长。

而在这背后, 晶合集成的经营发展也存在系列风险,其中包括产品结构较单一、客户集中度极高、盈利能力不足,以及扩产项目能否达成预期业绩等

因此,尽管自带“国内第三大晶圆代工企业”光环,但晶合集成未来数年发展走势如何,仍是一个尚难定论的未知数。而要实现多元化及技术突破,其还需攻坚克难、砥砺前行。

诞生与发迹“错配”

近十年来,合肥新型显示产业异军突起,加剧了“有屏无芯”的矛盾。同时,电子信息企业快速集聚,更激起地方政府打造“IC之都”的雄心。

“大约在2013年左右,家电、平板显示已经作为合肥的支柱产业,但在寻求转型升级时都遇到了同一个问题——缺‘芯’。”合肥市半导体行业协会理事长陈军宁教授曾表示。

为了解决缺芯问题,合肥市邀请了中国半导体行业的十几名专家一起参与讨论和论证,最终制定了合肥市第一份集成电路产业发展规划。

基于此,2015年,合肥建投与台湾力晶集团合作建设安徽省首家12英寸晶圆代工厂——晶合集成。

据部分媒体报道, 这一项目旨在解决京东方的面板驱动芯片供应问题。

晶合集成合肥12英寸晶圆代工厂

根据总体规划,晶合集成将在合肥新站高新技术产业开发区综合保税区内,建置四座12寸晶圆厂。其中一期投资128亿元,制程工艺为150nm、110nm以及90nm。

至于力晶达成合作的重要原因,是其当时遭遇了产能过剩危机重创,便致力于从动态存储芯片(DRAM)厂商转型为芯片代工企业。

2017年10月,晶合集成的显示面板驱动芯片(DDIC)生产线正式投产。这是安徽省第一座12寸晶圆代工厂,也是安徽省首个超百亿级集成电路项目。

随后,晶合集成的产能实现迅速爬升。招股书显示,2018年至2020年(下称“报告期内”), 公司产能分别为7.5万片/年、18.2万片/年和26.6万片/年,年均复合增长率达88.59%。

与此同时,其产品也迅速占领市场。据央视报道称,2020年占全球出货量20%的手机、14%的电视机和7%的笔记本电脑,采用的都是晶合集成的驱动芯片产品。

对于近五年实现快速发展的原因,晶合集成董事长蔡国智曾总结为,首先是“选对合作伙伴很重要”,以及公司对市场趋势判断正确、不间断的投资和新冠疫情带来的“红利”。

但稍显“遗憾”的是,报告期内, 晶合集成向境外客户销售收入分别为2.15亿元、4.68亿元和12.63亿元,占当期总营收比例为98.59%、87.69%、83.51%。

其中,鉴于公司的台湾“背景”及相关资源,晶合集成的境外客户中中国台湾地区客户占比颇高。

这也就是说,京东方并没有大量采购晶合集成的面板驱动芯片。业内数据统计,我国驱动芯片仍以进口为主。2019年,京东方驱动芯片采购额为60亿元,国产化率还不到5%,可见配套差距之大。

此外,晶合集成依赖境外市场同时,还存在客户集中度极高的问题。

报告期内, 其源自前五大客户的收入占总营收比例均约九成。其中,2019年和2020年,公司过半总营收来自第一大客户。 这显然对公司的议价能力和稳定经营不利。

国资台资加持主控

诚然,如蔡国智所言,晶合集成的快速成长的确得益于“不间断的投资”。

2015年5月12日,合肥市国资委发文同意合肥建投组建全资子公司晶合有限(晶合集成前身),注册资本为1000万元。

成立之初,晶合有限仅有合肥建投一个股东。随后,在国内半导体产业以及合肥电子信息产业迅速发展情况下,公司决定大搞建设。

2018年10月,晶合有限增资,合肥芯屏、力晶 科技 入股。具体股比上,合肥建投持股32.71%,合肥芯屏持股26.01%,力晶 科技 持股41.28%。

后来经过数次减资、增资,晶合有限于2020年11月正式整体变更设立为股份公司,即晶合集成。

截至招股书签署日, 合肥建投直接持有发行人31.14%股份,并通过合肥芯屏控制晶合集成21.85%股份,合计占有52.99%股份。而力晶 科技 的持股比例降至27.44%。

值得一提,合肥市国资委持有合肥建投100%的股权,因而为晶合集成的实际控制人。

那么,多次出现且持股一度占优的力晶 科技 是什么来头?

资料显示,力晶 科技 是一家1994年注册在中国台湾的公司。经过业务重组,其于2019年将其晶圆代工业务转让至力积电,并持有力积电26.82%的股权,成为控股型公司。

得益于力晶 科技 的较强势“助攻”,力积电的晶圆代工业务迅速实现位居世界前列。

调研机构预估,力积电2020年前三季度营收2.89亿美元左右,位列全球十大芯片代工第7名,领先另一家台湾半导体企业——世界先进一个名次。

而除了力晶 科技 和合肥市国资委之外,晶合集成还曾于2020年9月引入中安智芯等12家外部投资者。

其中, 美的集团旗下的美的创新持有晶合集成5.85%股权。而持股0.12%的中金公司则是晶合集成此次IPO的保荐机构。

不过,证监会及沪深交易所今年初发布公告显示,申报前12个月内产生的新股东将被认定为突击入股,且上述新增股东应当承诺所持新增股份自取得之日起36个月内不得转让。

鉴于晶合集成的申报稿是于2021年5月11日被上交所受理,美的创新、海通创新等12家股东均属于突击入股 ,才搭上了晶合集成奔赴上市的列车。

对此,晶合集成解释称,股东入股是正常的商业行为,是对公司前景的长期看好。

“上述公司/企业已承诺取得晶合集成股份之日起36个月内不转让或者委托他人管理在本次发行上市前直接或间接持有的晶合集成股份,也不由晶合集成回购在本次发行上市前直接或间接持有的晶合集成股份。”

经营业绩持续增长

背靠有半导体技术基因的力晶 科技 ,以及资金雄厚且自带官方背书的合肥建投,晶合集成近年来在营收方面有较明显增长。

报告期内, 晶合集成的营业收入分别为2.18亿、5.34亿和15.12亿元人民币,主营业务收入年均复合增长率达163.55%。

其中,2020年,疫情刺激全球宅经济、远距经济等需求大举攀升,而半导体作为 科技 产品的基础元件也自然受惠。因此,晶合集成的业绩同比大增达183.1%。

美国调研咨询机构Frost&Sullivan的统计显示, 按照2020年的销售额排名,晶合集成已成为中国大陆收入第三大的晶圆代工企业,仅次于中芯国际和华虹半导体。

值得注意,这一排名不包含在大陆设厂的外资控股企业,也不包含IDM半导体企业。

不过,相比业内可比公司的经营状况,晶合集成仍有不小差距。比如,2020年,中芯国际营收274.71亿元,华虹半导体营收62.72亿元,分别是晶合集成的18倍及4倍以上。

另一方面,晶合集成已经搭建了150nm至55nm制程的研发平台,涵盖DDIC(面板驱动)、CIS(图像传感器)、MCU(微控制)、PMIC(电源管理)、E-Tag(电子标签)、Mini LED及其他逻辑芯片等领域。

但公司的市场拓展及经营高度依赖DDIC晶圆代工服务,因而主营业务极为单一。

报告期内, 晶合集成DDIC晶圆代工服务收入,分别为2.18亿元、5.33亿元、14.84亿元,占主营业务收入比例分别为99.96%、99.99%、98.15%。

然而,正因如此,晶合集成预计,如果未来CIS和MCU等产品量产以及更先进制程落地,企业的收入和产能还有机会迎来新一波增长。

目前,晶合集成在12英寸晶圆代工量产方面已积累了比较成熟的经验,但工艺主要为150nm、110nm和90nm制程节点。

其中,90nm制程是业内DDIC类产品最为主流的制程之一,而提供90nm制程的DDIC产品服务也逐渐成为晶合集成的主营业务。

报告期内, 晶合集成90nm制程类产品收入年均复合增长率达652.15%,占营收比重从2018年6.52%逐年升至2020年的53.09%。 这一定程度上体现其收入结构正在优化。

此外,晶合集成正在进行55nm制程节点的12英寸晶圆代工平台研发,预计之后会在55nm制程产品研究中投入15.6亿元人民币,以推进先进制程的收入转化。

另据招股书透露,2021年,90nmCIS产品及110nmMCU产品将实现量产;55nm的触控与显示驱动整合芯片平台已与客户合作,计划在2021年10月量产。而55nm逻辑芯片平台预计于2021年12月开发完成,并导入客户流片。

基于此,晶合集成的企业版图未来确有望进一步扩充,而营业收入也势必会有不同程度的增加。

盈利毛利“满盘皆负”

虽然持续增收,但作为半导体行业新晋企业,晶合集成要实现盈利并不容易。由于设备采购投入过大,以及每年产生大量折旧费用等因素,晶合集成近年来净利润一直在亏损。

报告期内, 晶合集成归母净利润分别为-11.91亿元、- 12.43亿元和-12.58亿元。扣除非经常性损益后归母净利润分别为-12.54亿元、-13.48亿元和-12.33亿元,三年扣非净利润合计为-38.35亿元。

截至2020年12月31日, 公司经审计的未分配利润达-43.69亿元。

对此,在招股书中,晶合集成也做出“尚未盈利及存在累计未弥补亏损及持续亏损的风险”提示,并称“预计首次公开发行股票并上市后,公司短期内无法进行现金分红,对投资者的投资收益造成一定影响。”

另一方面,为满足产能扩充需求,晶合集成持续追加生产设备等资本性投入,折旧、 摊销等固定成本规模较高。这使得其在产销规模尚有限的情况下产品毛利率较低。

报告期各期, 晶合集成的产品综合毛利分别为-6.02亿元、-5.37亿元及-1.29亿元,综合毛利率则分别为-276.55%、-100.55%与-8.57%。

与行业可比公司相比,晶合集成的毛利率差距巨大,而且远低于可比公司毛利率的平均值。

值得一提,同期台积电的毛利率遥遥领先。而在大陆的半导体代工企业中,中芯国际及华润微的毛利率均低于平均值,仅有华虹半导体于2018年和2019年略高于平均值。

不过,随着产销规模逐步增长且规模效应使得单位成本快速下降,晶合集成的毛利率与可比公司均值的差距正在快速缩短。2020年,其综合毛利率已大幅改善至-8.57%。

与此同时,晶合集成各制程产品的毛利率也在持续改善。

招股书显示,2020年,公司150nm制程产品毛利已实现扭负为正,而110nm及150nm制程产品毛利率,相对优于90nm制程产品的毛利率。其主要原因为90nm制程产品工艺流程较为复杂,固定成本分摊比例较高。

晶合集成似乎对未来盈利很有信心,在招股书中称“主营业务毛利率虽然连年为负,但呈现快速改善趋势... 未来规模效应的增强有望使得公司盈利能力进一步改善。”

其实早在去年底,晶合集成就定下四大战略目标:即 在“十四五”开局之年,实现月产能达到10万片、科创板上市、三厂启动以及企业盈利。 不难看出其对实现盈利的重视。

但是,参考近三年利润总额和净利润,并未看出晶合集成的亏损有明显好转趋势。更有行业人士称,“由于每年设备折旧费用可能吃掉大部分利润,收回成本可能要历时数年。”

技术研发依赖“友商”

毋庸置疑,晶圆代工行业属于技术和资本密集型行业,除需大量资本运作外,对研发能力要求也极高。可以说,研发能力的强弱直接决定了企业的核心竞争力。

一般来说,半导体企业的研发能力,主要通过研发费用投入占总收入比例、研发人员占总人员比例、科研成果转化率等评判。

首先,在研发费用投入方面。近年来,尽管一直“入不敷出”,但晶合集成的研发投入总额依然保持着较快上涨。

报告期内, 公司研发费用分别为1.31亿元、1.70亿元及2.45亿元。 然而,鉴于营业额的更快速增长,其 研发投入占比则出现持续下滑,分别为60.28%、31.87%及16.18%

不过,目前晶合集成的研发费用率仍高于同行业的平均水平。这主要是因其处于快速发展阶段,收入规模较可比公司相对较低,但研发投入维持在较高强度。

其次,在研发人员投入方面。 报告期各期末, 晶合集成研发人员数量持续增长,分别为119人、207人和280人, 占员工总数比例分别为9.47%、15.16%和16.81%。

相比之下,截至2020年12月31日,中芯国际、华虹半导体、华润微研发人员分别为2335人、未知、697人,占总人员比例分别为13.5%、未知、7.7%。

由此可见,晶合集成的研发人员占比超过已知的中芯国际和华润微,但在研发人员总数量上仍逊色不少。

另招股书显示,晶合集成现有5名核心技术人员,分别为蔡辉嘉(总经理)、詹奕鹏(副总经理)、 邱显寰(副总经理)、张伟墐(N1 厂厂长)、李庆民(协理兼技术开发二处处长)。

然而,根据背景信息介绍, 5名核心技术人员全部为台湾籍人士,而且除了詹奕鹏外,其余4人均曾任职于力晶 科技 。 这说明晶合集成的核心技术研发极为依赖力晶 科技 。

另外,在科研成果转化方面。截至2020年12月31日, 晶合集成及其子公司拥有境内专利共计54项,境外专利共计44项, 形成主营业务收入的发明专利共71项 。

在行业可比公司方面,中芯国际仅2020年内便新增申请发明专利、实用新型专利、布图设计权总计991项,新增获得数1284项;累计申请数17973项,获得数12141项;

华虹半导体2020年申请专利576项,累计获得中美发明授权专利超过3600项;

华润微2020年已获授权并维持有效的专利共计1711项,其中境内专利1492项、境外专利219项。

可以看出, 中芯国际、华虹半导体、华润微拥有的专利均超过了1000项,大幅领先于不足百项的晶合集成。

当然,对成立较短的半导体企业来说,这是必然会遭遇的问题之一。但要加强技术专利的积累及实现追赶,晶合集成还有很长的路要走。

募资百亿转型多元化

近年来,随着全球信息化和数字化持续发展,新能源 汽车 、人工智能、消费及工业电子、移动通信、物联网、云计算等新兴领域的快速成长,带动了全球集成电路和晶圆代工行业市场规模不断增长。

为抓住产业发展契机及进一步争取行业有力地位,晶合集成自2020开始便积极谋划在科创板上市,预计在2021年下半年完成。而这一时程较原计划提早了一年。

具体而言,本次科创板IPO, 晶合集成拟公开发行不超过约5.02亿股,占公司发行后总股本的比例不超过25%,同时计划募集资金120亿元。据此,公司估值为480亿元。

截至6月11日,科创板受理企业总数已达575家,其中仅9家公司拟募资超过100亿元。也就是说,晶合集成的募资规模已进入科创板受理企业前十。

在用途方面,公司的募集资金将全部投入12英寸晶圆制造二厂项目。该 项目总投资约为165亿元,其中建设投资为155亿元,流动资金为10亿元。

如果募集资金不足以满足全部投资,晶合集成计划通过银行融资等方式获取补足资金缺口。

根据规划,二厂项目将建设一条产能为4万片/月的12英寸晶圆代工生产线。其中,产品包括电源管理芯片(PMIC)、显示驱动整合芯片(DDIC)、CMOS图像传感芯片(CIS)等,主要面向物联网、 汽车 电子、5G等创新应用领域。

在图像传感器技术方面,晶合集成目前已完成第一阶段90nm图像传感器技术的开发,未来将进一步将图像传感器技术推进至55nm,并于二厂导入量产;

在电源管理芯片技术方面,晶合集成计划在现有90nm技术平台基础上进一步开发BCD工艺平台,辅以IP验证、模型验证、模拟仿真等构建90nm电源管理芯片平台,并于二厂导入量产;

在显示面板驱动芯片方面,晶合集成已在现有的90nm触控与显示驱动芯片平台基础上进一步提升工艺制程能力,将技术节点推进至55nm。

招股书显示,12英寸晶圆制造二厂的项目进度为:2021年3月,洁净室开始装设;8月,土建及机电安装完成及工艺设备开始搬入;12月,达到3万片/月的产能。

此外,2022年3月,即项目启动建设一周年,达到3万片/月的满载产能。同年, 晶合集成还将装设一条40nmOLED显示驱动芯片微生产线。

未来,随着项目逐步推进建设及产能落地,晶合集成将继续坚持当前的战略规划:

依托合肥平板显示、 汽车 电子、家用电器等产业优势,结合不同产业发展趋势及产品需求,形成显示驱动、图像传感、微控制器、电源管理(“显 像 微 电”)四大特色工艺的产品线。

结语

依托台湾技术团队及合肥的国有资本等,晶合集成成立仅五年就成为了全球重要的显示面板驱动芯片代工厂商,并且剑指显示器驱动芯片代工市占率第一桂冠。

这样的成就对国内半导体企业来说,实属难能可贵。但长年押宝在“一根稻草”上,晶合集成的经营发展无疑潜在较多重大风险。同时,行业的激烈竞争及国际形势变化等外部压力也越来越大。

晶合集成董事长蔡国智,2020年上任,曾在宏碁股份、力晶 科技 和力积电等公司任职。

对此,晶合集成近年来正致力于推动企业转型,并制定了详细的三年发展计划。2020年7月,晶合集成董事长蔡国智接受问芯Voice采访时,曾透露了公司的具体战略规划:

2021年:目标是营收要倍增至30亿,公司必须开始获利赚钱,同时要完成N2建厂、产品多元化以及科创板IPO上市;

2022年:目标是N2厂正式进入量产阶段,公司营收突破50亿元大关,并维持稳定获利;

2023年:目标是单月产能要达到7.5万片,公司营收达70亿,并且开始规划N3和N4厂房的建设。

但在清晰的目标背后,晶合集成不可避免的面临一系列挑战。

比如现阶段半导体代工行业“马太效应”愈发明显,晶合集成要如何扭转劣势或突围?在现有企业规模及相关储备下,其多元化战略是否还能顺利推进并攻下市场?

此外,由于客户主要在境外,公司要如何真正提高关键国产芯片的自给率?

基于此,即便科创板上市成功,晶合集成还需要克服诸多问题及困难,其中包括改善盈利、升级工艺、募集资本、招揽人才、推进多元化及应对行业竞争等等。

至于本次募资的12英寸晶圆代工项目是否能达到预期业绩,以及相关战略未来是否能卓有成效落地,从而改善当前的系列问题,促使晶合集成进一步壮大乃至真正崛起,且拭目以待!

台积电开启晶圆代工时代,成为集成电路中最为重要的一个环节。 1987 年,台积电的成立开启了 晶圆代工时代,尤其在得到了英特尔的认证以后,晶圆代工被更多的半导体厂商所接受。晶圆代工 打破了 IDM 单一模式,成就了晶圆代工+IC 设计模式。目前,半导体行业垂直分工成为了主流, 新进入者大多数拥抱 fabless 模式,部分 IDM 厂商也在逐渐走向 fabless 或者 fablite 模式。

全球晶圆代工市场一直呈现快速增长,未来有望持续 。晶圆代工+IC 设计成为行业趋势以后,受益 互联网、移动互联网时代产品的强劲需求,整个行业一直保持快速增长,以台积电为例,其营业收 入从 1991 年的 1.7 亿美元增长到 2019 年的 346 亿美元,1991-2019 年,CAGR 为 21%。2019 年全球晶圆代工市场达到了 627 亿美元,占全球半导体市场约 15%。未来进入物联网时代,在 5G、 人工智能、大数据强劲需求下,晶圆代工行业有望保持持续快速增长。

晶圆代工行业现状:行业呈现寡头集中。 晶圆代工是制造业的颠覆,呈现资金壁垒高、技术难度大、 技术迭代快等特点,也因此导致了行业呈现寡头集中,其中台积电是晶圆代工行业绝对的领导者, 营收占比超过 50%,CR5 约为 90%。

晶圆代工行业资金壁垒高。 晶圆代工厂的资本性支出巨大,并且随着制程的提升,代工厂的资本支 出中枢不断提升。台积电资本支出从 11 年的 443 亿元增长到 19 年的 1094 亿元,CAGR 为 12%。 中芯国际资本性支出从 11 年的 30 亿元增长到了 19 年的 131 亿元,CAGR 为 20%,并且随着 14 nm 及 N+1 制程的推进,公司将显著增加 2020 年资本性支出,计划为 455 亿元。巨额投资将众多 追赶者挡在门外,新进入者难度极大。

随着制程提升,晶圆代工难度显著提升。 随着代工制程的提升,晶体管工艺、光刻、沉积、刻蚀、 检测、封装等技术需要全面创新,以此来支撑芯片性能天花板获得突破。

晶体管工艺持续创新。 传统的晶体管工艺为 bulk Si,也称为体硅平面结构(Planar FET)。 随着 MOS 管的尺寸不断的变小,即沟道的不断变小,会出现各种问题,如栅极漏电、泄漏功 率大等诸多问题,原先的结构开始力不从心,因此改进型的 SOI MOS 出现,与传统 MOS 结 构主要区别在于:SOI 器件具有掩埋氧化层,通常为 SiO2,其将基体与衬底隔离。由于氧化 层的存在,消除了远离栅极的泄漏路径,这可以降低功耗。随着制程持续提升,常规的二氧 化硅氧化层厚度变得极薄,例如在 65nm 工艺的晶体管中的二氧化硅层已经缩小仅有 5 个氧 原子的厚度了。二氧化硅层很难再进一步缩小了,否则产生的漏电流会让晶体管无法正常工 作。因此在 28nm 工艺中,高介电常数(K)的介电材料被引入代替了二氧化硅氧化层(又称 HKMG 技术)。随着设备尺寸的缩小,在较低的技术节点,例如 22nm 的,短沟道效应开始 变得更明显,降低了器件的性能。为了克服这个问题,FinFET 就此横空出世。FinFET 结构 结构提供了改进的电气控制的通道传导,能降低漏电流并克服一些短沟道效应。目前先进制 程都是采用 FinFET 结构。

制程提升,需要更精细的芯片,光刻机性能持续提升。 负责“雕刻”电路图案的核心制造设备是光刻机,它是芯片制造阶段最核心的设备之一,光刻机的精度决定了制程的精度。第四 代深紫外光刻机分为步进扫描投影光刻机和浸没式步进扫描投影光刻机,其中前者能实现最 小 130-65nm 工艺节点芯片的生产,后者能实现最小 45-22nm 工艺节点芯片的生产。通过多 次曝光刻蚀,浸没式步进扫描投影光刻机能实现 22/16/14/10nm 芯片制作。到了 7/5nm 工艺, DUV 光刻机已经较难实现生产,需要更为先进的 EUV 光刻机。EUV 生产难度极大,零部件 高达 10 万多个,全球仅 ASML 一家具备生产能力。目前 EUV 光刻机产量有限而且价格昂 贵,2019 年全年,ASML EUV 销量仅为 26 台,单台 EUV 售价高达 1.2 亿美元。

晶圆代工技术迭代快,利于头部代工厂。 芯片制程进入 90nm 节点以后,技术迭代变快,新的制程 几乎每两到三年就会出现。先进制程不但需要持续的研发投入,也需要持续的巨额资本性支出,而 且新投入的设备折旧很快,以台积电为例,新设备折旧年限为 5 年,5 年以后设备折旧完成,生产 成本会大幅度下降,头部厂商完成折旧以后会迅速降低代工价格,后进入者难以盈利。

2.1摩尔定律延续,技术难度与资本投入显著提升

追寻摩尔定律能让消费者享受更便宜的 力,晶圆代工是推动摩尔定律最重要的环节。 1965 年, 英特尔(Intel)创始人之一戈登·摩尔提出,当价格不变时,集成电路上可容纳的元器件的数目, 约每隔 18-24 个月便会增加一倍,性能也将提升一倍,这也是全球电子产品整体性能不断进化的核 心驱动力,以上定律就是著名的摩尔定律。换而言之,每一美元所能买到的电脑性能,将每隔 18- 24 个月翻一倍以上。推动摩尔定律的核心内容是发展更先进的制程,而晶圆代工是其中最重要的 环节。

摩尔定律仍在延续。 市场上一直有关于摩尔定律失效的顾虑,但是随着 45nm、28nm、10nm 持续 的推出,摩尔定律仍然保持着延续。台积电在 2018 年推出 7nm 先进工艺,2020 年开始量产 5nm, 并持续推进 3nm 的研究,预计 2022 年量产 3nm 工艺。IMEC 更是规划到了 1nm 的节点。此外, 美国国防高级研究计划局进一步提出了先进封装、存算一体、软件定义硬件处理器三个未来发展研 究与发展方向,以此来超越摩尔定律。在现在的时间点上来看,摩尔定律仍然在维持,但进一步提 升推动摩尔定律难度会显著提升。

先进制程资本性投入进一步飙升 。根据 IBS 的统计,先进制程资本性支出会显著提升。以 5nm 节 点为例,其投资成本高达数百亿美金,是 14nm 的两倍,是 28nm 的四倍。为了建设 5nm 产线, 2020 年,台积电计划全年资本性将达到 150-160 亿美元。先进制程不仅需要巨额的建设成本,而 且也提高了设计企业的门槛,根据 IBS 的预测,3nm 设计成本将会高达 5-15 亿美元。

3nm 及以下制程需要采用全新的晶体管工艺。 FinFET 已经历 16nm/14nm 和 10nm/7nm 两个工艺 世代,随着深宽比不断拉高,FinFET 逼近物理极限,为了制造出密度更高的芯片,环绕式栅极晶 体管(GAAFET,Gate-All-Ground FET)成为新的技术选择。不同于 FinFET,GAAFET 的沟道被 栅极四面包围,沟道电流比三面包裹的 FinFET 更加顺畅,能进一步改善对电流的控制,从而优化 栅极长度的微缩。三星、台积电、英特尔均引入 GAA 技术的研究,其中三星已经先一步将 GAA 用 于 3nm 芯片。如果制程到了 2nm 甚至 1nm 时,GAA 结构也许也会失效,需要更为先进的 2 维 、 甚至 3 维立体结构,目前微电子研究中心(Imec)正在开发面向 2nm 的 forksheet FET 结构。

3nm 及以下制程,光刻机也需要升级。 面向 3nm 及更先进的工艺,芯片制造商或将需要一种称为 高数值孔径 EUV(high-NA EUV)的光刻新技术。根据 ASML 年报,公司正在研发的下一代极紫 外光刻机将采用 high-NA 技术,有更高的数值孔径、分辨率和覆盖能力,较当前的 EUV 光刻机将 提高 70%。ASML 预测高数值孔径 EUV 将在 2022 年以后量产。

除上面提到巨额资本与技术难题以外,先进制程对沉积与刻蚀、检测、封装等环节也均有更高的要 求。正是因为面临巨大的资本和技术挑战,目前全球仅有台积电、三星、intel 在进一步追求摩尔定 律,中芯国际在持续追赶,而像联电、格罗方德等晶圆代工厂商已经放弃了 10nm 及以下制程工艺 的研发,全面转向特色工艺的研究与开发。先进制程的进一步推荐节奏将会放缓,为中芯国际追赶 创造了机会。

2.2先进制程占比持续提升,成熟工艺市场不断增长

高性能芯片需求旺盛,先进制程占比有望持续提升。 移动终端产品、高性能计算、 汽车 电子和通信 及物联网应用对算力的要求不断提升,要求更为先进的芯片,同时随着数据处理量的增加,存储芯 片的制程也在不断升级,先进制程的芯片占比有望持续提升。根据 ASML2018 年底的预测,到 2025 年,12 寸晶圆的先进制程占比有望达到 2/3。2019 年中,台积电 16nm 以上和以下制程分别占比 50%,根据公司预计,到 2020 年,16nm 及以下制程有望达到 55%。

CPU、逻辑 IC、存储器等一般采用先进制程(12 英寸),而功率分立器件、MEMS、模拟、CIS、 射频、电源芯片等产品(从 6μm 到 40nm 不等)则更多的采用成熟工艺(8 寸片)。 汽车 、移动 终端及可穿戴设备中超过 70%的芯片是在不大于 8 英寸的晶圆上制作完成。相比 12 寸晶圆产线,8 寸晶圆制造厂具备达到成本效益生产量要求较低的优势,因此 8 寸晶圆和 12 寸晶圆能够实现优 势互补、长期共存。

受益于物联网、 汽车 电子的快速发展,MCU、电源管理 IC、MOSFET、ToF、传感器 IC、射频芯 片等需求持续快速增长。 社会 已经从移动互联网时代进入了物联网时代,移动互联网时代联网设备 主要是以手机为主,联网设备数量级在 40 亿左右,物联网时代,设备联网数量将会成倍增加,高 通预计到 2020 年联网 设备数量有望达到 250 亿以上。飙升的物联网设备需要需要大量的成熟工艺 制程的芯片。以电源管理芯片为例,根据台积电年报数据,公司高压及电源管理晶片出货量从 2014 年的 1800 万片(8 寸)增长到 2019 年的 2900 万片,CAGR 为 10%。根据 IHS 的预测,成熟晶 圆代工市场规模有望从 2020 年的 372 亿美元增长到 2025 年的 415 亿美元。

特色工艺前景依旧广阔,主要代工厂积极布局特色工艺。 巨大的物联网市场前景,吸引了众多 IC 设计公司开发新产品。晶圆代工企业也瞄准了物联网的巨大商机,频频推出新技术,配合设计公司 更快、更好地推出新一代芯片,助力物联网产业高速发展。台积电和三星不仅在先进工艺方面领先布局,在特色工艺方面也深入布局,例如台积电在图像传感器领域、三星在存储芯片领域都深入布 局。联电、格罗方德、中芯国际、华虹半导体等代工厂也全面布局各自的特色工艺,在射频、 汽车 电子、IOT 等领域,形成了各自的特色。

5G 时代终端应用数据量爆炸式提升增加了对半导体芯片的需求,晶圆代工赛道持续繁荣。 随着对 于 5G 通信网络的建设不断推进,不仅带动数据量的爆炸式提升,要求芯片对数据的采集、处理、 存 储 效率更高,而且也催生了诸多 4G 时代难以实现的终端应用,如物联网、车联网等,增加了终 端对芯片的需求范围。对于芯片需求的增长将使得下游的晶圆代工赛道收益,未来市场前景极其广 阔。根据 IHS 预测,晶圆代工市场规模有望从 2020 年的 584 亿美元,增长到 2025 年的 857 亿美 元,CAGR 为 8%。

3.15G 推动手机芯片需求量上涨

5G 手机渗透率快速提升。手机已经进入存量时代,主要以换机为主。2019 年全球智能手机出货量 为 13.7 亿部,2020 年受疫情影响,IDC 等预测手机总体出货量为 12.5 亿台,后续随着疫情的恢 复以及 5G 产业链的成熟,5G 手机有望快速渗透并带动整个手机出货。根据 IDC 等机构预测,5G 手机出货量有望从 2020 年的 1.83 增长到 2024 年的 11.63 亿台,CAGR 为 59%。

5G 手机 SOC、存储和图像传感器全面升级,晶圆代工行业充分受益。 消费者对手机的要求越来越 高,需要更清晰的拍照功能、更好的 游戏 体验、多任务处理等等,因此手机 SOC 性能、存储性能、 图像传感器性能全面提升。目前旗舰机的芯片都已经达到了 7nm 制程,随着台积电下半年 5 nm 产 能的释放,手机 SOC 有望进入 5nm 时代。照片精度的提高,王者荣耀、吃鸡等大型手游和 VLOG 视频等内容的盛行,对手机闪存容量和速度也提出了更高的要求,LPDDR5 在 2020 年初已经正式 亮相小米 10 系列和三星 S20 系列,相较于上一代的 LPDDR4,新的 LPDDR5 标准将其 I/O 速 度从 3200MT/s 提升到 6400MT/s,理论上每秒可以传输 51.2GB 的数据。相机创新是消费者更 换新机的主要动力之一,近些年来相机创新一直在快速迭代,一方面,多摄弥补了单一相机功能不 足的缺点,另一方面,主摄像素提升带给消费者更多的高清瞬间,这两个方向的创新对晶圆及代工 的需求都显著提升。5G 时代,手机芯片晶圆代工市场将会迎来量价齐升。

5G 手机信号频段增加,射频前端芯片市场有望持续快速增长。射频前端担任信号的收发工作,包 括低噪放大器、功率放大器、滤波器、双工器、开关等。相较于 4G 频段,5G 的频段增加了中高 频的 Sub-6 频段,以及未来的更高频的毫米波频段。根据 yole 预测,射频前端市场有望从 2018 年 的 149 亿美元,增长到 2023 年的 313 亿美元,CAGR 为 16%。

3.2云计算前景广阔,服务器有望迎来快速增长

2020 年是国内 5G 大规模落地元年,有望带来更多数据流量需求 。据中国信通院在 2019 年 12 月 份发布的报告,2020 年中国 5G 用户将从去年的 446 万增长到 1 亿人,到 2024 年我国 5G 用户 渗透率将达到 45%,人数将超过 7.7 亿人,全球将达到 12 亿人,5G 用户数的高增长带来流量的 更高增长。

5G 时代来临,云计算产业前景广阔。 进入 5G 时代,IoT 设备数量将快速增加,同时应用的在线 使用需求和访问流量将快速爆发,这将进一步推动云计算产业规模的增长。根据前瞻产业研究院的 报告,2018 年中国云计算产业规模达到了 963 亿元,到 2024 年有望增长到 4445 亿元,CAGR 为 29%,产业前景广阔。

边缘计算是云计算的重要补充,迎来新一轮发展高潮。 根据赛迪顾问的数据,2018 年全球边缘计 算市场规模达到 51.4 亿美元,同比增长率 57.7%,预计未来年均复合增长率将超过 50%。而中国 边缘计算市场规模在 2018 年达到了 77.4 亿元,并且 2018-2021 将保持 61%的年复合增长率,到 2021 年达到 325.3 亿元。

服务器大成长周期确定性强。 服务器短期拐点已现,受益在线办公和在线教育需求旺盛,2020 年 服务器需求有望维持快速增长。长期来看,受益于 5G、云计算、边缘计算强劲需求,服务器销量 有望保持持续高增长。根据 IDC 预测,2024 年全球服务器销量有望达到 1938 万台,19-24 年, CAGR 为 13%。

服务器半导体需求持续有望迎来快速增长,晶圆代工充分受益。 随着服务器数量和性能的提升,服 务器逻辑芯片、存储芯片对晶圆的需求有望快速增长,根据 Sumco 的预测,服务器对 12 寸晶圆 需求有望从 2019 年的 80 万片/月,增长到 2024 年的 158 万片/月,19-24 年 CAGR 为 8%。晶圆 代工市场有望充分受益服务器芯片量价齐升。

3.3三大趋势推动 汽车 半导体价值量提升

传统内燃机主要价值量主要集中在其动力系统。 而随着人们对于 汽车 出行便捷性、信息化的要求逐 渐提高, 汽车 逐步走向电动化、智能化、网联化,这将促使微处理器、存储器、功率器件、传感器、 车载摄像头、雷达等更为广泛的用于 汽车 发动机控制、底盘控制、电池控制、车身控制、导航及车 载 娱乐 系统中, 汽车 半导体产品的用量显著增加。

车用半导体有望迎来加速增长。 根据 IHS 的报告,车用半导体销售额 2019 年为 410 亿美元,13- 19 年 CAGR 为 8%。随着 汽车 加速电动化、智能化、网联化,车用芯片市场规模有望迎来加速, 根据 Gartner 的数据,全球 汽车 半导体市场 2019 年销售规模达 410.13 亿美元,预计 2022 年有望 达到 651 亿美元,占全球半导体市场规模的比例有望达到 12%,并成为半导体下游应用领域中增 速最快的部分。

自动驾驶芯片要求高,有望进一步拉动先进制程需求。 自动驾驶是通过雷达、摄像头等将采集车辆 周边的信息,然后通过自动驾驶芯片处理数据并给出反馈,以此降低交通事故的发生率、提高城市 中的运载效率并降低驾驶员的驾驶强度。自动驾驶要求多传感器之间能够及时、高效地传递信息, 并同时完成路线规划和决策,因此需要完成大量的数据运算和处理工作。随着自动驾驶级别的上升, 对于芯片算力的要求也越高,产生的半导体需求和价值量也随之水涨船高。英伟达自动驾驶芯片随 着自动驾驶级别的提升,芯片制程也显著提升,最早 Drive PX 采用的是 20nm 工艺,而最新 2019 年发布的 Drive AGX Orin 将会采用三星 8nm 工艺。根据英飞凌的预测,自动驾驶给 汽车 所需要的 半导体价值带来相当可观的增量,一辆车如果实现 Level2 自动驾驶,半导体价值增量就将达到 160 美元,若自动驾驶级别达到 level4&5,增量将会达到 970 美元。

3.4IoT 快速增长,芯片类型多

随着行业标准完善、技术不断进步、政策的扶持,全球物联网市场有望迎来爆发性增长。GSMA 预 测,中国 IOT 设备联网数将会从 2019 年的 36 亿台, 增到 到 2025 年的 80 亿台,19-25 年 CAGR 为 17.3%。根据全球第二大市场研究机构 MarketsandMarkets 的报告,2018 年全球 IoT 市场规模 为 795 亿美元,预计到 2023 年将增长到 2196 亿美元,18-23 年 CAGR 为 22.5%。

物联网的发展需要大量芯片支撑,半导体市场规模有望迎来进一步增长 。物联网感知层的核心部件 是传感器系统,产品需要从现实世界中采集图像、温度、声音等多种信息,以实现对于所处场景的 智能分析。感知需要向设备中植入大量的 MEMS 芯片,例如麦克风、陀螺仪、加速度计等;设备 互通互联需要大量的通信芯片,包括蓝牙、WIFI、蜂窝网等;物联网时代终端数量和数据传输通道 数量大幅增加,安全性成为最重要的需求之一,为了避免产品受到恶意攻击,需要各种类型的安全 芯片作支持;同时,身份识别能够保障信息不被盗用,催生了对于虹膜识别和指纹识别芯片的需求; 作为物联网终端的总控制点,MCU 芯片更是至关重要,根据 IC Insights 的预测,2018 年 MCU 市 场规模增长 11%,预计未来四年内 CAGR 达 7.2%,到 2022 年将超过 240 亿美元。

4.1 国内 IC 设计企业快速增长,代工需求进一步放量

国内集成电路需求旺盛,有望持续维持快速增长。 国内集成电路市场需求旺盛,从 2013 年的 820 亿美元快速增长到 2018 年的 1550 亿美元,CAGR 为 13.6%,IC insight 预测,到 2023 年,中国 集成电路市场需求有望达到 2290 亿美元,CAGR 为 8%。但是同时,国内集成电路自给率也严重 不足,2018 年仅为 15%,IC insight 在 2019 年预测,到 2023 年,国内集成电路自给率为 20%。

需求驱动,国内 IC 设计快速成长。 在市场巨大的需求驱动下,国内 IC 设计企业数量快速增加,尤 其近几年,在国内政策的鼓励下,以及中美贸易摩擦大的背景下,IC 设计企业数量加速增加,2019 年底,国内 IC 设计企业数量已经达到了 1780 家,2010-2019 年,CAGR 为 13%。根据中芯国际 的数据,国内 IC 设计公司营收 2020 年有望达到 480 亿美元,2011-2020 年 CAGR 为 24%,远 高于同期国际 4%的复合增长率。

国内已逐步形成头部 IC 设计企业。 根据中国半导体行业协会的统计,2019 年营收前十的入围门槛 从 30 亿元大幅上升到 48 亿元,这十大企业的增速也同样十分惊人,达到 47%。国内 IC 企业逐步 做大做强,部分领域已经形成了一些头部企业:手机 SoC 芯片领域有华为海思、中兴微电子深度 布局;图像传感领域韦尔豪威大放异彩;汇顶 科技 于 2019 年引爆了光学屏下指纹市场;卓胜微、 澜起 科技 分别在射频开关和内存接口领域取得全球领先。IC 设计企业快速成长有望保持对晶圆代 工的强劲需求。

晶圆代工自给率不足。 中国是全球最大的半导体需求市场,根据中芯国际的预测,2020 年中国对 半导体产品的需求为 2130 亿美元,占全球总市场份额为 49%,但是与之相比的是晶圆代工市场份 额严重不足,根据拓墣研究的数据,2020Q2,中芯国际和华虹半导体份额加起来才 6%,晶圆代 工自给率严重不足,尤其考虑到中国 IC 设计企业数量快速增长,未来的需求有望持续增长,而且, 美国对华为等企业的禁令,更是让我们意识到了提升本土晶圆代工技术和产能的重要性。

4.2政策与融资支持,中国晶圆代工企业迎来良机(略)

晶圆代工需求不断增长,但国内自给严重不足,受益需求与国内政策双重驱动,国内晶圆代工迎来 良机。建议关注:国内晶圆代工龙头,突破先进制程瓶颈的中芯国际-U、特色化晶 圆代工与功率半导体 IDM 双翼发展的华润微华润微、坚持特色工艺,盈利能力强的华虹半导体华虹半导体。

……

(报告观点属于原作者,仅供参考。作者:东方证券,蒯剑、马天翼)

如需完整报告请登录【未来智库】www.vzkoo.com。

当各大芯片厂商纷纷与华为划清界限时,有一家企业的表态备受关注,这家企业就是台积电。作为芯片代工龙头,全球主要芯片设计企业的生产都要依赖于台积电的产能,华为海思的麒麟芯片同样也来自台积电。它的重要性不言而喻,没有台积电先进的生产制程,华为最新的旗舰手机P30,Mate X上市都会大受影响,未来在与三星,苹果手机的市场竞争中势必处于不利地位。在高通宣布中止对华为的供货后,台积电就显得尤为重要,它几乎成为华为高端手机芯片唯一的供货来源。最终,台积电的表态让华为送了一口气。台积电明确表示不会停止对华为的供货。根据美国的出口管制条例规定,被管制的货品,软件和科技分为三类:第一类是位于美国;第二类是源自美国(U.S Origin);第三类是外国制造,但是源自美国的内容超过25%的上限。对于台积电是否超过25%的上限,一些台湾业内人士认为,台积电的蚀刻等半导体设备有60%来自美国应用材料公司,很难不超过25%的上限。不过如果要认真起来,包括研发投入,人工都计算在内的话,25%的上限应该是没有超过。也就是说,这个标准是一个模糊地带,主要还是要看企业是否愿意为华为冒这个风险。目前台积电在南京建有一个12寸晶圆生产工厂,此外台积电还是中芯国际的第三大股东,持股比例为10%,在内地的市场的布局使得台积电近年来在芯片代工市场风生水起,远远将三星电子,英特尔等公司甩在身后。就其客户来说,华为已经是台积电第二大客户,仅次于苹果公司,占台积电订单总量的11%。今年上半年,华为在台积电的16nm订单狂涨,在整体市场不景气之际,避免了销售收入的下滑,挽救了台积电今年一,二季度的经营业绩。台积电的表态对华为来说可谓雪中送炭,除了台积电本身的重要性之外,对于其它芯片企业也有非常及时的示范效应,三星半导体,海力士,索尼,东芝等日韩企业预计也将据此 *** 作,因此来说,占据华为芯片供应半壁江山的亚太供应链得到确保,华为芯片的供应不会有太大的问题。


欢迎分享,转载请注明来源:内存溢出

原文地址: https://outofmemory.cn/dianzi/9220989.html

(0)
打赏 微信扫一扫 微信扫一扫 支付宝扫一扫 支付宝扫一扫
上一篇 2023-04-26
下一篇 2023-04-26

发表评论

登录后才能评论

评论列表(0条)

保存