国产十五家主要半导体设备厂商介绍

国产十五家主要半导体设备厂商介绍,第1张

前些天,我国本土半导体设备传来好消息,中微半导体设备(上海)有限公司自主研制的5nm等离子体刻蚀机经台积电验证,性能优良,将用于全球首条5nm制程生产线。刻蚀机是芯片制造的关键装备之一,中微突破关键核心技术,让“中国制造”跻身刻蚀机国际第一梯队。

近年来,我国大陆半导体设备企业一直在努力追赶国际先进脚步。在多种设备领域有一定突破,除了上述中微半导体的5nm等离子体刻蚀机之外,有越来越多的产品可应用于14nm、7nm制程。

但是,国内设备与国外先进设备相比仍有较大差距,主要表现在两方面:一是有一定竞争力的产品在领先制程上的差距;二是部分产品完全没有竞争能力或尚未布局,比如国内光刻机落后许多代际,仅能达到90nm的光刻要求,国内探针台也处于研发阶段,尚未实现销售收入。

那么,在国家的扶持下,经过这么多年的发展,我国本土半导体设备各个细分领域的发展情况如何呢?相关企业都有哪些?发展到了什么程度呢?下面就来梳理一下。

   北方华创

北方华创由七星电子和北方微电子战略重组而成。七星甴子主营清洗机、氧化炉、 气体质量控制器(MFC)等半导体装备及精密甴子元器件等业务,此外七星甴子还是国内真空设备、 新能源锂甴装备重要供应商。北方微甴子主营刻蚀设备(Etch)、物理气相沉积设备(PVD)、化学气相沉积设备(CVD)三类设备。

2010 年 3 月,七星甴子在深交所上市。 2016 年 8 月,七星甴子与北方微甴子实现战略重组,成为中国规模最大、产品体系最丰富、涉及领域最广的高端半导体工艺设备供应商,开成功引迚国家集成甴路产业基金(大基金)等战略投资者,实现了产业与资本的融合。 公司实际控制人是北京甴控,隶属于国资委。

2017 年 2 月,七星甴子正式更名为北方华创 科技 集团股仹有限公司,完成了内部整合,推出全新品牉“北方华创”,开形成了半导体装备、真空装备、新能源锂甴装备和高精密甴子元器件四大业务板块加集团总部的“4+1”经营管理模式。

北方华创的半导体装备亊业群主要包括刻蚀机、 PVD、 CVD、氧化炉、扩散炉、清洗机及质量流量控制器(MFC)等 7 大类半导体设备及零部件,面向集成甴路、先进封装等 8 个应用领域,涵盖了半导体生产前段工艺制程中的除光刻机外的大部分兲键装备。 客户包括中芯国际、华力微甴子、长江存储等国内一线半导体制造企业,以及长甴 科技 、 晶斱 科技 、华天 科技 等半导体封装厂商。

重组之后,北方华创业绩快速增长。2017 年实现营业收入 22.23 亿元,同比增长37.01%,归母净利润 1.26 亿元,同比增长 35.21%。 根据公司 2018 年半年报业绩快报,2018 年上半年公司实现营业收入13.95 亿元,同比增长 33.44%, 归母净利润 1.19 亿元,同比增长 125.44%。 随着下游晶圆厂投资加速, 公司半导体设备等觃模持续扩张。

长川 科技

长川 科技 是国内集成电路封装测试、晶圆制造及芯片设计环节测试设备主要供应商。 半导体测试设备主要包括分选机、 测试机和探针台三大类。自2008年4月成立以来,该公司率先实现了半导体测试设备(分选机和测试机) 的国产化, 并获得国内外众多一流集成电路企业的使用和认可。

该公司于 2012 年 2 月承担并完成国家“十二五”规划重大专项“极大规模集成电路制造装备及成套工艺”中的高端封装设备与材料应用工程项目,并于 2015 年 3 月获得国家集成电路产业基金投资。

该公司的测试机和分选机在核心性能指标上已达到国内领先、接近国外先进水平,同时售价低于国外同类型号产品,具备较高的性价比优势。 公司产品已进入国内主流封测企业, 如天水华天、 长电 科技 、 杭州士兰微、 通富微电等。 2017 年,该公司对外积极开拓市场, 设立台湾办事处,拓展台湾市场。

2013~2017年,长川 科技 营收实现了由 4,341 万元到 1.80 亿元的跨越,复合增速达39.75%。 2017 年,归属母公司净利润由992万元增长至 5,025 万元, 复合增速达31.48%。

中微半导体

中微半导体成立于 2004 年,是一家微加工高端设备公司, 经营范围包括研发薄膜制造设备和等离子体刻蚀设备、大面积显示屏设备等。该公司管理层技术底蕴深厚,大多有任职于应用材料、LAM和英特尔等全球半导体一流企业的经验。

中微半导体先后承担并圆满完成 65-45 纳米、 32-22 纳米、22-14 纳米等三项等离子介质刻蚀设备产品研制和产业化。 公司自主研发的等离子体刻蚀设备 Primo D-RIE 可用于加工 64/45/28 纳米氧化硅、氮化硅等电介质材料,介质刻蚀设备 Primo AD-RIE 可用于 22nm 及以下芯片加工,均已进入国内先进产线。中微半导体的介质刻蚀机已经完成了5nm 的生产。

晶盛机电

晶盛机电是一家专业从事半导体、光伏设备研发及制造的高新技术企业,是国内技术领先的晶体硅生长设备供应商。该公司专注于拥有自主品牌的晶体硅生长设备及其控制系统的研发、制造和销售,先后开发出拥有完全自主知识产权的直拉式全自动晶体生长炉、铸锭多晶炉产品。

该公司立足于“提高光电转化效率、降低发电成本”的光伏技术路线,实现了硅晶体生长“全自动、高性能、高效率、低能耗”国内领先、国际先进的技术优势。全自动单晶炉系列产品和 JSH800 型气致冷多晶炉产品分别被四部委评为国家重点新产品。同时公司积极向光伏产业链装备进行延伸,2015 年成功开发并销售了新一代单晶棒切磨复合一体机、单晶硅棒截断机、多晶硅块研磨一体机、多晶硅块截断机等多种智能化装备,并布局高效光伏电池装备和组件装备的研发。

该公司的晶体生长设备特别是单晶硅生长炉销售形势较好,主要是单晶光伏的技术路线获得认可,随着下游厂商的扩产,单晶的渗透率也逐步提升,带来对单晶硅生长炉的需求增加,该类产品收入已经占营业收入的 81%。

该公司主营业务伴随国内光伏产业的上升发展,给主营业务收入和利润带来显着增长,近两年的增长率均在 80%以上,另外,其毛利率水平和净利率水平也基本维持稳定。

上海微电子

上海微电子装备有限公司成立于2002年,主要致力于大规模工业生产的投影光刻机研发、生产、销售与服务,该公司产品可广泛应用于IC制造与先进封装、MEMS、TSV/3D、TFT-OLED等制造领域。

   该公司主要产品包括:

600扫描光刻机系列—前道IC制造

基于先进的扫描光刻机平台技术,提供覆盖前道IC制造90nm节点以上大规模生产所需,包含90nm、130nm和280nm等不同分辨率节点要求的ArF、KrF及i-line步进扫描投影光刻机。该系列光刻机可兼容200mm和300mm硅片

500步进光刻机系列—后道IC、MEMS制造

基于先进的步进光刻机平台技术,提供覆盖后道IC封装、MEMS/NEMS制造的步进投影光刻机。该系列光刻机采用高功率汞灯的ghi线作为曝光光源,其先进的逐场调焦调平技术对薄胶和厚胶工艺,以及TSV-3D结构等具有良好的自动适应性,并通过采用具有专利的图像智能识别技术,无需专门设计特殊对准标记。该系列设备具有高分辨率、高套刻精度和高生产率等一系列优点,可满足用户对设备高性能、高可靠性、低使用成本(COO)的生产需求。

200光刻机系列—AM-OLED显示屏制造

200系列投影光刻机综合采用先进的步进光刻机平台技术和扫描光刻机平台技术,专用于新一代AM-OLED显示屏的TFT电路制造。该系列光刻机不仅可用于基板尺寸为200mm × 200mm的工艺研发线,也可用于基板尺寸为G2.5(370mm × 470mm)和G4.5(730mm × 920mm)的AM-OLED显示屏量产线。

硅片边缘曝光机系列——芯片级封装工艺应用

SMEE开发的硅片边缘曝光机提供了满足芯片级封装工艺中对硅片边缘进行去胶处理的能力,设备可按照客户要求配置边缘曝光宽度、硅片物料接口形式、曝光工位等不同形式。设备同时兼容150mm、200mm和300mm等三种不同规格的硅片,边缘曝光精度可到达0.1mm。设备配置了高功率光源,具有较高的硅片面照度,提高了设备产率。

至纯 科技

至纯 科技 成立于 2000 年, 主要为电子、生物医药及食品饮料等行业的先进制造业企业提供高纯工艺系统的整体解决方案, 产品为高纯工艺设备和以设备组成的高纯工艺系统,覆盖设计、加工制造、安装以及配套工程、检测、厂务托管、标定和维护保养等增值服务。

该公司在 2016年前产品约一半收入来自医药类行业,光伏、 LED 行业及半导体行业收入占比较小。 2016年以来,公司抓住半导体产业的发展机遇,逐步扩大其产品在半导体领域的销售占比, 2016和 2017 年来自半导体领域收入占公司营业收入比重分别为 50%和 57%,占据公司营业收入半壁江山。主攻半导体清洗设备。

该公司于 2015 年开始启动湿法工艺装备研发, 2016 年成立院士工作站, 2017 年成立独立的半导体湿法事业部至微半导体,目前已经形成了 UltronB200 和 Ultron B300 的槽式湿法清洗设备和 Ultron S200 和 Ultron S300 的单片式湿法清洗设备产品系列, 并取得 6 台的批量订单。

   精测电子

武汉精测电子技术股份有限公司创立于 2006 年 4 月,并于 2016 年 11 月在创业板上市。公司主要从事平板显示检测系统的研发、生产与销售,在国内平板显示测试领域处于绝对领先地位, 主营产品包括:模组检测系统、面板检测系统、OLED 检测系统、AOI光学检测系统和平板显示自动化设备。近几年来,该公司积极对外投资,设立多家子公司,业务规模迅速扩张,进一步完善了产业布局。

该公司成立初期主要专注于基于电讯技术的信号检测,是国内较早开发出适用于液晶模组生产线的 3D 检测、基于 DP 接口的液晶模组生产线的检测和液晶模组生产线的 Wi-Fi 全无线检测产品的企业,目前该公司的 Module 制程检测系统的产品技术已处于行业领先水平。

2014 年,精测电子积极研发 AOI 光学检测系统和平板显示自动化设备,引进了宏濑光电和台湾光达关于 AOI 光学检测系统和平板显示自动化设备相关的专利等知识产权,使其在 Array制程和 Cell 制程的检测形成自有技术,初步形成了“光、机、电”技术一体化的优势。

精测电子2018年上半年财务报告显示,该公司收入主要来自 AOI 光学检测系统业务,占比 45.49%,毛利占比 41.94%;其次是模组检测系统业务,收入占比 23.33%,毛利占比 27.68%; OLED 检测系统和平面显示自动化设备收入占比分别为 14.29%和12.30%,毛利占比为 14.26%和 10.28%。

   电子 科技 集团45所

中国电子 科技 集团公司第45研究所创立于1958年,2010年9月,中央机构编制委员会办公室批准45所第一名称更改为“北京半导体专用设备研究所”,第二名称仍保持“中国电子 科技 集团公司第四十五研究所”不变。

45所是国内专门从事军工电子元器件关键工艺设备技术、设备整机系统以及设备应用工艺研究开发和生产制造的国家重点军工科研生产单位。

45所以光学细微加工和精密机械与系统自动化为专业方向,以机器视觉技术、运动控制技术、精密运动工作台与物料传输系统技术、精密零部件设计优化与高效制造技术、设备应用工艺研究与物化技术、整机系统集成技术等六大共性关键技术为支撑,围绕集成电路制造设备、半导体照明器件制造设备、光伏电池制造设备、光电组件制造和系统集成与服务等五个重点技术领域,开发出了电子材料加工设备、芯片制造设备、光/声/电检测设备、化学处理设备、先进封装设备、电子图形印刷设备、晶体元器件和光伏电池等八大类工艺设备和产品,服务于集成电路、光电元器件与组件、半导体照明和太阳能光伏电池四大行业.

   上海睿励

睿励科学仪器(上海)有限公司是于2005年创建的合资公司,致力于研发、生产和销售具有自主知识产权的集成电路生产制造工艺装备产业中的工艺检测设备。主要生产用于65/28/14nm制程工艺控制的膜厚测量设备。

沈阳芯源

沈阳芯源微电子设备有限公司成立于2002年,由中科院沈阳自动化研究所引进国外先进技术投资创建。

芯源公司自主开发的单片匀胶机、显影机、喷胶机、去胶机、清洗机、湿法刻蚀机等设备广泛应用于半导体、先进封装、MEMS、LED等领域。

1.LED领域匀胶显影机:应用于LED芯片制造、PSS(图形化衬底)、MEMS、HCPV(高聚光型太阳能电池)、Waveguide(光波导)工艺的匀胶显影等工艺制程。

2.高端封装全自动涂胶显影机:广泛应用于先进封装BGA、Flip-Chip、WSP、CSP制程的高黏度PR、PI、Epoxy的涂敷、显影工艺制程。

3.高端封装全自动喷雾式涂胶机: 广泛应用于TSV、MEMS、WLP等工艺制程。

4.单片湿法刻蚀机/去胶机/清洗机:广泛应用于先进封装BGA、Flip-Chip、WSP、CSP制程的刻蚀、去胶、清洗工艺制程。

5.前道堆叠式全自动涂胶显影机:应用于90nm光刻工艺、BARC涂覆、SOC、SOD、SOG等工艺制程。

   盛美半导体

盛美半导体(ACM Research)是国内半导体清洗设备主要供应商,于1998年在美国硅谷成立,主要研发电抛光技术,2006 年成立上海子公司,专注于半导体清洗设备。2017年11月4日公司在美国纳斯达克上市。2017年公司营业收入3650万美元,同比增长33.2%,其中90%以上的营业收入来自于半导体清洗设备。2017 年研发投入占营业收入比例为14.1%。

由于声波清洗可能会造成晶片损伤,行业公司大多转向研发其他技术,盛美半导体另辟蹊径研发出空间交变相移兆声波清洗(SAPS)和时序能激气泡震荡兆声波清洗(TEBO)两项专利技术,可以实现无伤清洗。公司的清洗设备目前已经进入 SK 海力士、长江存储和上海华力等先进产线。

   天津华海清科

天津华海清科机电 科技 有限公司成立于2013年,是天津市政府与清华大学践行“京津冀一体化”国家战略,为推动我国化学机械抛光(CMP)技术和设备产业化成立的高 科技 企业。

华海清科主要从事CMP设备和工艺及配套耗材的研发、生产、销售与服务,核心团队成员来自清华大学摩擦学国家重点实验室及业内专业人才,产品可广泛应用于极大规模集成电路制造、封装、微机电系统制造、晶圆平坦化、基片制造等领域。

中电科装备

中电科电子装备集团有限公司成立于2013年,是在中国电子 科技 集团公司2所、45所、48所基础上组建成立的二级成员单位,属中国电子 科技 集团公司独资公司,注册资金21亿元,该公司是我国以集成电路制造装备、新型平板显示装备、光伏新能源装备以及太阳能光伏产业为主的科研生产骨干单位,具备集成电路局部成套和系统集成能力以及光伏太阳能产业链整线交钥匙能力。

多年来,利用自身雄厚的科研技术和人才优势,形成了以光刻机、平坦化装备(CMP)、离子注入机、电化学沉积设备(ECD)等为代表的微电子工艺设备研究开发与生产制造体系,涵盖材料加工、芯片制造、先进封装和测试检测等多个领域;通过了ISO9001、GJB9001A、UL、CE、TüV、NRE等质量管理体系与国际认证。

   沈阳拓荆

沈阳拓荆 科技 有限公司成立于2010年4月,是由海外专家团队和中科院所属企业共同发起成立的国家高新技术企业。拓荆公司致力于研究和生产薄膜设备,两次承担国家 科技 重大专项。2016年、2017年连续两年获评“中国半导体设备五强企业”。

该公司拥有12英寸PECVD(等离子体化学气相沉积设备)、ALD(原子层薄膜沉积设备)、3D NAND PECVD(三维结构闪存专用PECVD设备)三个完整系列产品,技术指标达到国际先进水平。产品广泛应用于集成电路前道和后道、TSV封装、光波导、LED、3D-NAND闪存、OLED显示等高端技术领域。

   华海清科

天津华海清科机电 科技 有限公司成立于2013年,是天津市政府与清华大学践行“京津冀一体化”国家战略,为推动我国化学机械抛光(CMP)技术和设备产业化成立的高 科技 企业。

华海清科主要从事CMP设备和工艺及配套耗材的研发、生产、销售与服务,核心团队成员来自清华大学摩擦学国家重点实验室及业内专业人才,产品可广泛应用于极大规模集成电路制造、封装、微机电系统制造、晶圆平坦化、基片制造等领域。

以上就是我国大陆地区的主要半导体设备生产企业。

随着我国半导体产业的快速发展,对半导体设备的需求量越来越大,而本土半导体设备企业面临着供给与需求错配的情况。一方面,国内的半导体设备需求随着下游产线的扩张而迅速增加,大陆的半导体设备需求占全球半导体设备需求的比重较高;但另一方面,本土的设备供给存在着水平较为落后,国产化率不高的情况。

针对这一情形,在国家的大力支持下,国内设备企业需要积极布局,以在各细分设备领域实现突破。

6月中旬,总部位于北京的奕斯伟 科技 在西安高新技术产业开发区二期扩建项目破土动工。ICVIEWS了解到,新工厂将专注于生产具有先进工艺技术的12英寸单晶硅抛光片和硅外延片,将用于逻辑芯片、闪存、DRAM、图像传感器和显示驱动IC,其一期工厂已于 2020 年 7 月开始商业生产,月产能为 500000 件。

投资超百亿的西安奕斯伟硅产业基地项目,主要从事研发生产12英寸电子级硅抛光片和外延片,产品广泛应用于电子通讯、 汽车 制造、人工智能、消费电子等领域,该项目是全国仅有的三个通过国家发改委、工信部“窗口指导”评审的集成电路用大硅片生产项目之一,建成后将有效提高了陕西省西安市半导体产业的国际竞争力,填补我国半导体行业大硅片制造的空白,实现半导体硅片制造的自主可控和国产替代。

除了这一项目,上海硅产业集团股份有限公司(以下简称“沪硅集团”)也公布了扩建计划。5 月 25 日,沪硅集团旗下子公司上海新升将出资 15.5 亿元,与大基金二期等多个出资方共同投资 67.9 亿元,在上海临港建设 30 万片集成电路用12 英寸高端硅片扩产项目。

目前,全球近 90% 的硅片市场由信越化学、Sumco、Globalwafers、Siltronic 和 SK Siltron 等排名前 5 位的供应商主导。 ICVIEWS了解到 ,由于自给率相对较低,虽然中国是硅片的最大出口国,但一直严重依赖外国进口,尤其是 12 英寸产品。

但在两家中国制造商将其新的12英寸硅片产能商业化后,中国硅片供应将在自给自足的道路上加快步伐,帮助国内12英寸晶圆厂确保供应并提高竞争力。 ICVIEWS了解到 ,另一家主要代工厂华虹半导体和IDM的华润微电子和杭州士兰微电子也在加快建设新的晶圆厂以生产12英寸晶圆。

市场研究人员的统计数据显示,到 2026 年,全球将有多达 203 家 12 英寸晶圆厂投入运营。

中国最大的晶圆代工厂商中芯国际正在北京、上海和深圳建设三个 12 英寸晶圆厂,总成本为 1200 亿元人民币。 据了解 ,深圳工厂将于 2021 年 12 月开始试运行,计划于 2022 年底实现量产。

2022年全球晶圆代工产能将每年增长约14%。

根据IC Insights统计,2009年-2019年,全球一共关闭了100座晶圆代工厂,其中8英寸晶圆厂为24座,占比24%,6英寸晶圆厂为42座,占比42%。

目前8英寸晶圆生产设备主要来自二手市场,价格昂贵且数量少,如蚀刻机、光刻机、测量设备,设备的稀缺同样钳制着8英寸晶圆产能的释放。8英寸晶圆通常对应90nm以上制程,在这些制程下生产的功率器件、CIS、PMIC、RF、指纹芯片以及NOR Flash等产品产能被明显限制。随着制程的不断缩小,芯片制造工艺对硅片缺陷密度与缺陷尺寸的容忍度也在不断降低。由于硅片边缘部分存在不平整和大量缺陷,在使用晶圆制造器件时,实际可以利用的是中间部分,由于边缘芯片减少,使用12英寸晶圆的产品成品率将上升。

由于扩大8英寸产能不划算且增速远低于整体行业平均水平,因此8英寸产能将增长约每年 6%,而 12 英寸产能每年将增长 18%。2022年大部分晶圆代工厂将聚焦12英寸晶圆产能,扩产的主要动力来自台积电、联电、中芯国际、华虹集团的华虹宏力、新芯。根据 SUMCO 发布的全球 12 英寸晶圆需求预测数据,2021 年全球 12 英寸晶圆需求将达到每年720 万片,到 2025 年将达每月 910 万片。

中国12英寸硅片厂建设的如火如荼反映了国产半导体制造的发展情况。根据彭博汇编的数据,过去四个季度全球增长最快的 20 家芯片行业公司中,平均有 19 家来自中国大陆。相比之下,去年同期只有八家公司。

一份新报告称,今年第一季度,三家中国大陆芯片制造商在全球晶圆代工收入中的占比首次超过 10%。

其中,中国半导体制造国际公司在 1-3 月季度全球晶圆代工收入前 10 名中排名第五,市场份额为 5.6%。总部位于上海的另一家中国主要半导体制造商华虹集团以 3.2% 的市场份额排名第六。位于安徽省合肥市的晶合半导体排名第九,市场份额为 1.4%。

硅片是生产芯片、分立器件、传感器等半导体产品的关键材料,目前90%以上的半导体产品均使用硅基材料制造,硅片占半导体材料市场规模比重约为37%,位居半导体三大核心材料之首,因此,半导体硅片被誉为半导体行业的“粮食”,虽然全球市场总规模不大,但至关重要。

近20年以来,半导体硅片长期被日本信越、日本胜高(SUMCO)、环球晶圆、德国Siltronic、韩国SK Siltron等少数寡头企业垄断。2019年,行业前五大企业合计销售额占全球半导体硅片行业销售额比重高达92%,我国90%以上的硅片需求依赖进口,基本不在国内生产,目前,硅片垄断局面还在加剧。

11月30日,德国硅片制造商Siltronic AG表示,正与环球晶圆开展深入谈判,后者拟以37.5亿欧元(约合45亿美元)将其收购,双方预期在12月第二周,取得Siltronic监事会及环球晶圆董事会核准后,进行BCA签署。

环球晶董事长徐秀兰指出,双方都认为结合后的事业体会有很好的成效,将更能互补地有效投资,进而扩充产能。

并购前,德国Siltronic为全球第四大硅晶圆厂,市占率约为7%,环球晶则为全球第三大厂,市占率达18%,收购完成后,环球晶在全球硅晶圆市场占有率有望一举跃升至25%,逼近日本胜高的28%,同时意味着全球晶圆市场将呈现日本信越、胜高、环球晶圆、SK Siltron四强争霸的格局,进一步垄断市场。

根据IC Insights的报告,今年仅ADI收购Maxim、英伟达收购ARM、SK海力士收购英特尔存储业务、AMD收购赛灵思四起收购案的交易额就高达1050亿美元,外加Marvell100亿美元收购Inphi、环球晶45亿美元收购德国Siltronic,2020年半导体并购金额已经创下 历史 新高。

去年,韩国SK Siltron为防止日本出口限制,收购杜邦碳化硅晶圆事业部,在区域全球化抬头的当下,各巨头抱团取暖,通过并购来加强各自的优势,以应对快速变化和复杂的局面。

以半导体设备巨头应用材料为例,在2018年之前的几十年内,应用材料长期稳坐全球半导体设备第一供应商的位置,凭借的就是全面且强大的产品线,特别是在具有高技术含量的半导体制造前道设备,该公司具有相当深厚的技术功底。

但从 历史 来看,应用材料正是通过一系列的并购,来加强自己实力的,虽然从1967年-1996年的30年间,应用材料只有一次核心业务相关的并购,但在1997年-2007年十年间,先后发起了14起并购案,不断完善自己的产品构成。

截至目前,应用材料的产品线涵盖了半导体制造的数十种设备,包括原子沉积、化学气相沉积、物理气相沉积、离子注入机、刻蚀机、化学抛光及晶圆检测设备等,预计2020年,应用材料半导体设备的市场份额将从去年的15.9%提高至18.8%。

查阅了近几年的国内半导体海外并购案例,主要有五起:

2013年紫光集团17.8亿美元收购展讯,2014年9.07亿美元收购锐迪科,后合并成为紫光展锐;2015年合肥瑞成18亿美元收购高性能射频功率放大器厂商AMPLEON;2016年中信资本、北京清芯华创投资与金石投资19亿美元收购CMOS传感器厂商豪威 科技 ;

2016年长电 科技 以7.8亿美元收购新加坡封测厂金科星朋;2017年建广资产27.5亿美元收购恩智浦标准件业务,今年6月安世半导体正式注入闻泰 科技 。

其他的海外收购基本都在5亿美元以下,特别是国产替代加速的最近三年,鲜有海外并购的大案例,想着国内半导体厂不差钱,但为何还是买不来?

实际上,国内企业海外并购,特别是半导体海外并购还真不是钱多就能解决的问题,早在1996年,西方42国就签署了集团性限制出口控制机制——《瓦森纳协定》,简单来说,就是成员国内技术转让或出口无需上报,但向非成员国转让需要上报,以此达到技术转让监管和控制的目的。

并且这份协定很与时俱进,以大硅片为例,2019年底修订的《瓦森纳协定》,就新增了一条关于12英寸大硅片技术的出口管制内容,直指中国集成电路14纳米制程工艺,以及上游适用于14纳米工艺的大硅片。

封锁的还不止拉高纯度单晶硅锭的设备和材料,更是从切割抛光好的硅片具体参数上进行了限制,专门针对适用于14纳米制程工艺的各种硅片。所以说,小到具体产品参数都能安排的明明白白,更别说直接并购先进企业,基本没有可能。

如果说美国单方面打压华为是凭借自身实力,那么通过《瓦森纳协定》限制技术出口,就相当于是在全球拉圈子,限制圈内技术出口,圈外想用,就只能用廉价劳动换取圈内输出的高附加值成品,《瓦森纳协定》相当于“金钟罩”。所以并购不来的公司,只有自己造。

实际上,光伏用硅片已经被国内的厂家玩出白菜价,半导体用的硅片之所以被国外垄断,难度在于单晶硅的纯度和内部缺陷的控制,我们做的不好。

在纯度上,光伏用的硅片6个9就够了,但半导体硅片需要11个9,也就是99.999999999%,问题就在这个纯度上面,拉出来的单晶硅锭纯度不够,内部缺陷、应力、翘曲度也跟国外有差距,做出来的芯片良品率就比较低。

所以为了良品率,晶圆厂都愿意愿意花高价买更高质量的硅片,而不愿意花低价买低质量的硅圆片,因为会导致最终芯片的良率,我国生产的硅圆片打不开国际市场就是凭证。

对于单晶硅的提纯和晶体缺陷控制,需要基于长期实践经验的积累和现场错误的总结,这是各个厂商的高度保密的技术,因为这方面的因素,国外硅片厂都没有在国内设厂。

目前,沪硅产业打破了我国12英寸(300mm)半导体硅片国产化率几乎为0的局面,推进了我国半导体关键材料生产技术自主可控的进程;中环股份现也已具备3-12英寸全尺寸半导体硅片产品的量产能力。

总的来说,当下全球市场主流的产品是12英寸,使用比例超过70%,主要应用在智能手机、计算机、人工智能、固态硬盘等高端芯片上。目前4-6英寸的硅片已可以满足国内需求,8英寸也日渐成熟,进入大规模国产替代阶段,但12英寸才刚刚进入初级阶段,还面临EPI、位错等诸多难题待解决,替代之路仍任重道远。


欢迎分享,转载请注明来源:内存溢出

原文地址: https://outofmemory.cn/dianzi/9226866.html

(0)
打赏 微信扫一扫 微信扫一扫 支付宝扫一扫 支付宝扫一扫
上一篇 2023-04-26
下一篇 2023-04-26

发表评论

登录后才能评论

评论列表(0条)

保存