信号发生器是ttl调制吗?

信号发生器是ttl调制吗?,第1张

信号发生器是ttl调制。一般信号源输出的TTL同步信号通过三极管电路转换成方波,电平为0(低)、36〜5V(高)。 它主要用于同步其他信号源或其他类型的仪器,以确保触发同步,由此可见该功能的重要性。

在仿真软件中,XFG1是功能信号发生器,SXC1是示波器,可以在multisim10的仪表板中可以找到。如果仪表是水平的,从左到右,第二个是XFG1,第四个和第五个是XSC1。

函数信号发生器是一种信号发生装置,可以产生一些特定的周期性时间函数波形(正弦波,方波,三角波,锯齿波,脉冲波等)信号,频率范围可以从几微赫到几十兆赫。 除了通讯,仪表和自动控制系统测试外,函数信号发生器还广泛用于其他非电测量领域。

示波器主要用于显示被测信号的波形,也可以用来测量被测信号的频率和周期。 在multisim10中,仪器栏具有一个两轨示波器和一个四通道示波器。 双击对应的图标,打开参数设置界面。 其参数设置与实际示波器基本相同。

扩展资料:

请使用与电子设备具有相同电压电平的函数信号发生器。 握住验电器的工作部件(验电器头),将发生器的电极头接触到测试设备的电极头,然后按下“工作”开关。 此时,来自验电器的声光信号表明验电器的性能完好无损。

如果没有声音和灯光指示静电计有故障,则应在使用前进行修理或更换。 在测试近电流警报安全帽时,只需要将高压信号发生器的电极头靠近警报并按下“工作”开关即可。

需要注意的是:

1、函数信号发生器配有“电源指示器”。 如果使用过程中指示灯不亮, 使用前应更换电池。

2、不使用时,函数信号发生器应放置在干燥通风的地方,以免受潮

1.显示部分 主要控制件为:

  (1)电源开关。

  (2)电源指示灯。

  (3)辉度 调整光点亮度。

  (4)聚焦 调整光点或波形清晰度。

  (5)辅助聚焦 配合“聚焦”旋钮调节清晰度。

  (6)标尺亮度 调节坐标片上刻度线亮度。

  (7)寻迹 当按键向下按时,使偏离荧光屏的光点回到显示区域,而寻到光点位置。

  (8)标准信号输出 1kHz、1V方波校准信号由此引出。加到Y轴输入端,用以校准Y轴输入灵敏度和X轴扫描速度。

(二)使用前的检查、调整和校准

  示波器初次使用前或久藏复用时,有必要进行一次能否工作的简单检查和进行扫描电路稳定度、垂直放大电路直流平衡的调整。示波器在进行电压和时间的定量测试时,还必须进行垂直放大电路增益和水平扫描速度的校准。示波器能否正常工作的检查方法、垂直放大电路增益和水平扫描速度的校准方法,由于各种型号示波器的校准信号的幅度、频率等参数不一样,因而检查、校准方法略有差异。

  (三)使用步骤

  用示波器能观察各种不同电信号幅度随时间变化的波形曲线,在这个基础上示波器可以应用于测量电压、时间、频率、相位差和调幅度等电参数。下面介绍用示波器观察电信号波形的使用步骤。

  1.选择Y轴耦合方式

  根据被测信号频率的高低,将Y轴输入耦合方式选择“AC-地-DC”开关置于AC或DC。

  2.选择Y轴灵敏度

  根据被测信号的大约峰-峰值(如果采用衰减探头,应除以衰减倍数;在耦合方式取DC档时,还要考虑叠加的直流电压值),将Y轴灵敏度选择V/div开关(或Y轴衰减开关)置于适当档级。实际使用中如不需读测电压值,则可适当调节Y轴灵敏度微调(或Y轴增益)旋钮,使屏幕上显现所需要高度的波形。

  3.选择触发(或同步)信号来源与极性

  通常将触发(或同步)信号极性开关置于“+”或“-”档。

  4.选择扫描速度

  根据被测信号周期(或频率)的大约值,将X轴扫描速度t/div(或扫描范围)开关置于适当档级。实际使用中如不需读测时间值,则可适当调节扫速t/div微调(或扫描微调)旋钮,使屏幕上显示测试所需周期数的波形。如果需要观察的是信号的边沿部分,则扫速t/div开关应置于最快扫速档。

  5.输入被测信号

  被测信号由探头衰减后(或由同轴电缆不衰减直接输入,但此时的输入阻抗降低、输入电容增大),通过Y轴输入端输入示波器。

函数信号发生器也差不多

你这个可以用模拟图实现的 无需写程序 给个参考你吧

本设计有5个模块组成,其中有:方波发生器,三角波发生器,正弦波发生器,阶梯波发生器,4选1选择器。下面是我设计的整个过程:

方波发生器:实质上是一段时间输出0,一段时间输出255的数字信号,当然这有8位的通道输出。

程序设计如下:

--工程名:方波发生器

--功能:产生方波,是通过交替送出全0和全1实现的,每32个时钟翻转一次

--时间:2010-12-17

library ieee;

use ieeestd_logic_1164all;

use ieeestd_logic_unsignedall;

use ieeestd_logic_arithall;

entity sqaure is

port(clk,clr:in std_logic;

q:out integer range 0 to 255

);

end entity;

architecture behav of sqaure is

signal a:bit;

begin

process(clk,clr) --计数分频

variable cnt:integer range 0 to 32;

begin

if(clr='0') then

a<='0';

elsif clk'event and clk='1' then

if cnt<31 then --进行32分频

cnt:=cnt+1;

else

cnt:=0;

a<=not a;

end if;

end if;

end process;

process(clk,a) --信号输出

begin

if clk'event and clk='1' then

if a='1' then

q<=255;

else

q<=0;

end if;

end if;

end process;

end behav;

三角波发生器:实质上是先输出直线递增的数字信号,随后按照同样的斜率输出递减的数字信号。这样就能实现三角波的发生了。

程序设计如下:

--工程名:三角波信号发生器

--功能:产生的三角波以64个时钟为一个周期,输出q每次加减8。

--时间:2010-12-17

library ieee;

use ieeestd_logic_1164all;

use ieeestd_logic_unsignedall;

use ieeestd_logic_arithall;

entity delta1 is

port(clk:in std_logic;--时钟信号

rst:in std_logic;--复位信号

q:out std_logic_vector(7 downto 0)); --输出信号

end entity;

architecture behav of delta1 is

begin

process(clk,rst)

variable tmp:std_logic_vector(7 downto 0);

variable a:std_logic;

begin

if(rst='0') then

tmp:="00000000";

elsif clk'event and clk='1' then

if(a='0') then

if(tmp="11111000") then --tmp=248

tmp:="11111111";

a:='1';--信号计数完成,下一次改成递减

else

tmp:=tmp+8;--递增

end if;

else

if tmp="00000111" then --tmp=7

tmp:="00000000";

a:='0';--信号计数完成,下一次改成递增

else

tmp:=tmp-8;--递减

end if;

end if;

end if;

q<=tmp;--信号输出

end process;

end behav;

正弦波发生器:这里我设计了64个状态,就是将一个周期的正弦波分成64分,在然后一份份的数字信号输出就可以了。具体怎么取值,用excel计算就可以了。自己手动计算也可以的哦。

具体程序设计如下:

library ieee;

use ieeestd_logic_1164all;

use ieeestd_logic_unsignedall;

use ieeestd_logic_arithall;

entity sin1 is

port(clk,clr:in std_logic;

d:out integer range 0 to 255);

end entity;

architecture behav of sin1 is

begin

process(clk,clr)

variable tmp:integer range 0 to 63;

begin

if clr='0' then

d<=0;

elsif clk'event and clk='1' then

if tmp=63 then

tmp:=0;

else

tmp:=tmp+1;

end if;

case tmp is

when 00=>d<=255; when 01=>d<=254;when 02=>d<=252;

when 03=>d<=249; when 04=>d<=245;when 05=>d<=239;

when 06=>d<=233; when 07=>d<=225;when 08=>d<=217;

when 09=>d<=207; when 10=>d<=197;when 11=>d<=186;

when 12=>d<=174; when 13=>d<=162;when 14=>d<=150;

when 15=>d<=137; when 16=>d<=124;when 17=>d<=112;

when 18=>d<=99; when 19=>d<=87; when 20=>d<=75;

when 21=>d<=64; when 22=>d<=53; when 23=>d<=43;

when 24=>d<=34; when 25=>d<=26; when 26=>d<=19;

when 27=>d<=13; when 28=>d<=8; when 29=>d<=4;

when 30=>d<=1; when 31=>d<=0; when 32=>d<=0;

when 33=>d<=1; when 34=>d<=4; when 35=>d<=8;

when 36=>d<=13; when 37=>d<=19; when 38=>d<=26;

when 39=>d<=34; when 40=>d<=43; when 41=>d<=53;

when 42=>d<=64; when 43=>d<=75; when 44=>d<=87;

when 45=>d<=99; when 46=>d<=112;when 47=>d<=124;

when 48=>d<=137; when 49=>d<=150;when 50=>d<=162;

when 51=>d<=174; when 52=>d<=186;when 53=>d<=197;

when 54=>d<=207; when 55=>d<=217;when 56=>d<=225;

when 57=>d<=233; when 58=>d<=239;when 59=>d<=245;

when 60=>d<=249; when 61=>d<=252;when 62=>d<=252;

when 63=>d<=255;

when others=>null;

end case;

end if;

end process;

end behav;

A/D是做信号的模拟量到数字量的转换,主要是将模拟信号按照一定的采样率进行数字量化之后,将采样点处的模拟值转换为对应的,有一定位数精度的二进制数字量并处送入相关的用于做数字信号处理的集成电路(如FPGA,DSP,单片机等)做信号的处理(如数字滤波,快速傅里叶变换FFT,存储显示等)。

D/A则是相反的过程,他是将数字逻辑电路送来的有一定位数的二进制数字量转换成对应的模拟量的过程,将转换得到的模拟信号经过适当的处理(如放大,滤波等)与调理(如U / I 变换等),用于传输或推动负载等!

将模拟信号转换成数字信号的电路,称为模数转换器(简称A/D转换器或ADC,Analog to Digital Converter);将数字信号转换为模拟信号的电路称为数模转换器(简称D/A转换器或DAC,Digital to Analog Converter),也就是你说的AD和DA芯片,A/D转换器和D/A转换器已成为信息系统中不可缺少的接口电路。

至于你说的函数信号发生器,就从函数信号产生的功能来看,应该用不到A/D过程。但是现在的一些函数信号发生器,往往集成一些像频率计这样的功能,就是从外部向其内部输入一定频率的信号,然后由仪器的显示面板显示对应输入信号的频率!如果这款仪器的内部是采用数字信号的处理方式的话,那就一定有A/D变换。

但是如果用函数信号发生器产生一个波形,假如某款信号发生器是采用数字集成电路(如FPGA,单片机等)作为控制器件,那就一定会用到D/A过程。就比如你需要得到一个一定频率的正弦信号,信号发生器的内部电路会根据你输入的频率控制信息,由预先存储在控制器内部的一组正弦信号的数据(这里的这些数据都是数字量),按照一定的顺序和速度输出到D/A转换器,并将其转换为对应的模拟量。当这个过程的速度足够快的时候,就可以得到一个基本上连续的波形。之后再经过滤波放大等一些处理之后,就得到了需要的模拟波形!同理,要得到方波,三角波或者是其他波形,也基本上这个道理!

这个解释清楚吗?

EDA技术的工作原理

 EDA技术是以大规模可编程逻辑器件为设计载体,以硬件语言为系统逻辑描述的主要方式,以计算机、大规模可编程逻辑器件的开发软件及实验开发系统为设计工具,通过有关的开发软件,自动完成用软件设计的电子系统到硬件系统的设计,最终形成集成电子系统或专用集成芯片的一门新技术。其设计的灵活性使得 EDA技术得以快速发展和广泛应用。本文以Max+PlusⅡ软件为设计平台,采用VHDL语言实现数字频率计的整体设计。

 工作原理

 众所周知,频率信号易于传输,抗干扰性强,可以获得较好的测量精度。因此,频率检测是电子测量领域最基本的测量之一。频率计的`基本原理是用一个频率稳定度高的频率源作为基准时钟,对比测量其他信号的频率。通常情况下计算每秒内待测信号的脉冲个数,即闸门时间为1 s。闸门时间可以根据需要取值,大于或小于1 s都可以。闸门时间越长,得到的频率值就越准确,但闸门时间越长,则每测一次频率的间隔就越长。闸门时间越短,测得的频率值刷新就越快,但测得的频率精度就受影响。一般取1 s作为闸门时间。

 数字频率计的关键组成部分包括测频控制信号发生器、计数器、锁存器、译码驱动电路和显示电路,其原理框图如图1所示。

 测频控制信号发生器

 测频控制信号发生器产生测量频率的控制时序,是设计频率计的关键。这里控制信号CLK取为1 Hz,2分频后就是一个脉宽为1 s的时钟信号FZXH,用来作为计数闸门信号。当FZXH为高电平时开始计数;在FZXH的下降沿,产生一个锁存信号SCXH,锁存数据后,还要在下次 FZXH上升沿到来之前产生清零信号CLEAR,为下次计数做准备,CLEAR信号是上升沿有效。

 计数器

 计数器以待测信号FZXH作为时钟,在清零信号CLEAR到来时,异步清零;FZXH为高电平时开始计数。本文设计的计数器计数最大值是99 999 999。

 锁存器

 当锁存信号SCXH上升沿到来时,将计数器的计数值锁存,这样可由外部的七段译码器译码并在数码管上显示。设置锁存器的好处是显示的数据稳定,不会由于周期性的清零信号而不断闪烁。锁存器的位数应跟计数器完全一样,均是32位。

 译码驱动电路

 本文数码管采用动态显示方式,每一个时刻只能有一个数码管点亮。数码管的位选信号电路是74LS138芯片,其8个输出分别接到8个数码管的位选;3个输入分别接到EPF10K10LC84-4($408200)的I/O引脚。

 数码管显示

 本文采用8个共阴极数码管来显示待测频率的数值,其显示范围从O~99 999 999。

;

欢迎分享,转载请注明来源:内存溢出

原文地址: https://outofmemory.cn/langs/12176756.html

(0)
打赏 微信扫一扫 微信扫一扫 支付宝扫一扫 支付宝扫一扫
上一篇 2023-05-21
下一篇 2023-05-21

发表评论

登录后才能评论

评论列表(0条)

保存