断网的电脑装vivado

断网的电脑装vivado,第1张

具体 *** 作如下

1、大家可以先下载【Vivado仿真工具2017.1破解版】

2、下载完成后解压出来,打开文件夹点击【Xsetup】进行安装;

3、设置一下安装路径,默认是C盘,大家可以进行修改,

4、点安装后,等待完成安装,需要很长一段时间

5、安装过程中还会跳出以下两个安装界面,直接都点击安装即可;

6、安装完成后如果d出错误提示,一般是电脑中缺少vs导致的,可以使用DirectXRepair修复一下

7、开始破解,安装完成后会自动跳出“Vivado license manager”界面,选择“Load License”,点击“Copy License”按钮;

8、找到license文件目录,导入“vivado.lic”文件;

9、点击“View License Status”查看证书状态证书的截止有效时间“Version Limit”一栏都是到2037年5月,表示激活成功

首先要去下载vivado的安装包。建议去官网下载下载好了安装解压。

这个时间有点长。安装好了就打开,打开之后会出现三个问题,三个全选I Agree,然后点击NEXT。之后的选择第三个,再点next之后就安装好了,开始加载license破解,安装完成后会自动跳出Vivado license manager界面,选择Load License,点击Copy License按钮;找到license文件目录,导入vivado.lic文件,点击View License Status查看证书状态证书的截止有效时间Version Limit一栏都是到2037年5月,表示激活成功。

vivado是一款Xilinx开发的功能强大的产品加工分析软件。

Vivado设计有工程和非工程两种模式 工程模式是使用Vivado设计套件工程自动管理设计源文件、设计配置和结果,使用图形化Vivado集成设计环境(IDE)交互式处理设计。关键优势在于Vivado工具可管理整个设计流程,包括工程文件管理、报告生成、数据存储等。在综合后修改HDL源文件,工具会自动生成时序和功耗报告。 非工程模式是使用Tcl脚本流程,在非工程模式下,需要自己管理设计源文件和设计过程。源文件只能从当前位置访问,不能将其复制到其它位置。设计结果保留在已分配给Vivado工具进程的机器内存中。使用Tcl命令来设置设计参数和实现选项。可使用Tcl在设计过程的任何阶段保存设计检查点(DCP)并生成报告 。


欢迎分享,转载请注明来源:内存溢出

原文地址: https://outofmemory.cn/tougao/9912198.html

(0)
打赏 微信扫一扫 微信扫一扫 支付宝扫一扫 支付宝扫一扫
上一篇 2023-05-03
下一篇 2023-05-03

发表评论

登录后才能评论

评论列表(0条)

保存