怎么用Verilog实现扫描4*4矩阵键盘?

怎么用Verilog实现扫描4*4矩阵键盘?,第1张

没看明白你到底想问啥……

根据你现在的这些资料,没法写代码的,只能大概给你说说思路。

定义两个reg型变量,a和b,分别存储两次按键输入的数值(很可能是扫描输入的,如果是扫描方式的话,就像楼上说的一样),然后定义一个c,根据开发软件的不同,有的可以直接写c=a*b,有的必须要调用乘法核。计算完成后,需要查表确定显示输出方式(以七段数码管为例,要做出一个表格,标志着每个数字对应led的每个管脚电平)。

一般来讲就是这样

你的应该是那种老式的键盘,4*4的行列式扫描键盘,先输入行信息,在分别对列进行扫描,确定是哪一列。

你把你的代码发上来看看么。

其实你就一个按键,为啥用键盘,一个简单的pushbutton不就行了。。。


欢迎分享,转载请注明来源:内存溢出

原文地址: https://outofmemory.cn/yw/12022522.html

(0)
打赏 微信扫一扫 微信扫一扫 支付宝扫一扫 支付宝扫一扫
上一篇 2023-05-20
下一篇 2023-05-20

发表评论

登录后才能评论

评论列表(0条)

保存