如何将程序加载到FPGA

如何将程序加载到FPGA,第1张

先准备下载线和FPGA测试板。

打开QuartusII软件。

按下右上角红箭头指向的按钮,显示下面界面。

把下载线插入电脑USB接口,按下左上角Hardware Setup...按钮,显示下面界面。

这时通过按下拉按钮来选择USB-Blaster[USB-0],再按下右边的ADD Hardware..来添加下载驱动,添加完下面白框里就有USB-Blaster项显示。

按下上面的Mode下拉按钮选择Active Serial Programming,再按下左边的ADD File..按钮,显示下面界面。

选择要指岩下载的pof后缀文件,按下右下角的打开按钮,下载文件被打开,显示界面如下,在Progrom/Configure下面打上勾。

8

把下载线的另一头接到FPGA测试板十芯下载插座上并通电。

9

按下左边的Start按钮就开始下载了唯饥御,等到右上角Progress显示百分肢蚂之百就算下载完成了。

用QuartusII建立一个假的工程,比如.bgf工程,然后在工程里面简单地放一个port即可,然后点击“编程”,然后另存为,就把fpga内部的烧写逻辑读取了。

但是,是启燃中bin文件。根本不知道具体逻辑实现,不过可段银以用来抄机。悄山

这个问题似乎有点笼统,建议您描述的更具体或者对FPGA和RAM多学习。

通常来说,FPGA里面有固化RAM,你使用它的时候,需要例化,比如一个单端口RAM,有地址线、数据输入线、数据输出线、时钟线、ram使能线、写使能等,这样就相当于一块实际的RAM,就可以对它进行读写数据,当然需要根据地址来读写。不知你明白了没有?

如果你有fpga片内程序,那就很简单。只需游租昌要修改下输出引脚,将ram内数据输出到fpga外部引脚,然后用示波器、逻辑分析型卖仪或者随便什么工具查看,也可以用signaltap直神扒接观察。

要是你没有fpga片内程序,那我就没办法了,毕竟片内数据是无法调出查看的,一定要有检测或采样手段才行

希望对你有帮助,望采纳。


欢迎分享,转载请注明来源:内存溢出

原文地址: https://outofmemory.cn/yw/12378992.html

(0)
打赏 微信扫一扫 微信扫一扫 支付宝扫一扫 支付宝扫一扫
上一篇 2023-05-25
下一篇 2023-05-25

发表评论

登录后才能评论

评论列表(0条)

保存