12864液晶显示的电路图可以帮我画一下吗? 程序如下

12864液晶显示的电路图可以帮我画一下吗? 程序如下,第1张

引脚号 引脚名称 方向 功能说明

1 VSS - 模块的电源地

2 VDD - 模块的电源正端

3 V0 - LCD驱动电压输入端

4 RS(CS) H/L 并行的指令/数据选择信号;串行的片选信号

5 R/W(SID) H/L 并行的读/写选择信号;串行的数据口

6 E(CLK) H/L 并行的使能信号;串行的同步时钟

7 DB0 H/L 数据0

8 DB1 H/L 数据1

9 DB2 H/L 数据2

10 DB3 H/L 数据3

11 DB4 H/L 数据4

12 DB5 H/L 数据5

13 DB6 H/L 数据6

14 DB7 H/L 数据7

15 PSB H/L 并/串行接口选择:H-并行;L-串行

16 NC 空脚

17 /RET H/L 复位 低电平有效

18 NC 空脚

19 LED_A (LED+5V) 背光源正极(加int?)

20 LED_K (LED-OV) 背光源负极

绝对好用的串口程序

sbit SID=P2^1//12864数据

sbit SCLK=P2^2//12864时钟

init()

{

write(0,0x30) //8 位介面,基本指令集

write(0,0x0c) //显示打开,光标关,反白关

write(0,0x01) //清屏,将DDRAM的地址计数器归零

delaynms(10)

write(0,0x80)for(i=0i<16i++) write(1,datas11[i])

write(0,0x90)for(i=0i<16i++) write(1,datas2[i])

write(0,0x88)for(i=0i<16i++) write(1,datas3[i])

write(0,0x98)for(i=0i<16i++) write(1,datas4[i])

}

/**********************12864*************************/

void delaynms(unsigned int di) //延时

{

unsigned int da,db

for(da=0da<dida++)

for(db=0db<10db++)

}

void sendbyte(unsigned char bbyte) //发送一个字节

{

unsigned char i

for(i=0i<8i++)

{

SID=bbyte&0x80//取出最高位

SCLK=1

SCLK=0

bbyte<<=1//左移

}

}

void write(bit start, unsigned char ddata) //写指令或数据

{

unsigned char start_data,Hdata,Ldata

if(start==0) start_data=0xf8 //写指令

else start_data=0xfa //写数据

Hdata=ddata&0xf0 //取高四位

Ldata=(ddata<<4)&0xf0 //取低四位

sendbyte(start_data) //发送起始信号

delaynms(5)//延时是必须的

sendbyte(Hdata) //发送高四位

delaynms(1) //延时是必须的

sendbyte(Ldata) //发送低四位

delaynms(1) //延时是必须的

}

/*void lcdinit(void) //初始化LCD

{

delaynms(10)//启动等待,等LCM讲入工作状态

//PSB=0//串口驱动模式

// RESET=0delaynms(1)RESET=1// 复位LCD

// CS=1

write(0,0x30) //8 位介面,基本指令集

write(0,0x0c) //显示打开,光标关,反白关

write(0,0x01) //清屏,将DDRAM的地址计数器归零

}*/

/*LCD12864显示程序

此程序控制LCD12864液晶屏,IC为KS0108或兼容型号

图形文件获取方法:

在字模提取V21软件中 ,导入一幅128*64黑白图像.

* 参数设置:

* 参数设置->其它选项,选择纵向取模,勾上字节倒序,保留逗号,

* 取模方式为C51。

将生成的数组通过keilc等C编译软件,在编译软件中新建一工程,写入源程序如下:

unsigned char code tab[]=

{

//图像数据

}

编译此工程将得到hex文件.在QII中使用lpm_rom宏功能模块中调用此hex文件.

*

*******************************************************************************/

module newlcd(clock,rst_n,rs,rw,en,data,lcd_cs)

// I/O口声明

input clock //系统时钟

input rst_n //复位信号

output[1:0] lcd_cs //

outputrs //1:数据模式;0:指令模式

outputrw //1:读 *** 作;0:写 *** 作

outputen //使能信号,写 *** 作时在下降沿将数据送出;读 *** 作时保持高电平

output[7:0] data//LCD数据总线

// I/O寄存器

reg rs

reg en

reg[1:0] lcd_cs

reg[7:0] data

//内部寄存器

reg[3:0] state //状态机

reg[3:0] next_state

reg[20:0] div_cnt //分频计数器

reg[9:0] cnt //写 *** 作计数器

reg cnt_rst //写 *** 作计数器复位信号

wire[7:0] showdata //要显示的数据

reg[1:0] cs_r

reg [2:0] page_addr

reg [5:0] row_addr

//内部网线

wire clk_div//分频时钟

wire clk_divs

wire page_done //写一行数据完成标志位

wire frame_done //写一屏数据完成标志位

wire left_done

//状态机参数

parameter idle =4'b0000,

setbase_1=4'b0001,

setbase_2=4'b0011,

setmode_1=4'b0010,

setmode_2=4'b0110,

SETpage_addr_1=4'b0111,

SETpage_addr_2=4'b0101,

SETrow_addr_1 =4'b1101,

SETrow_addr_2 =4'b1111,

write_right_1 =4'b1110,

write_right_2 =4'b1010,

write_nextpage_1 =4'b1011,

write_nextpage_2 =4'b1001,

wr_data_1 =4'b0100,

wr_data_2 =4'b1100

// set_1=4'b1000

//******************************代码开始*********************************

assign rw = 1'b0 //对LCD始终为写 *** 作

//时钟分频

always@(posedge clock or negedge rst_n)

begin

if(!rst_n)

div_cnt <= 0

else

div_cnt <= div_cnt+1'b1

end

assign clk_div = (div_cnt[15:0] == 20'h7fff)

//状态机转向

always@(posedge clock or negedge rst_n)

begin

if(! rst_n)

state <= idle

else if(clk_div)

state <= next_state

end

//************************状态机逻辑*********************************

always@(state or page_done or left_done or frame_done or cnt or showdata or page_addr or row_addr or cs_r)

begin

rs <= 1'b0

en <= 1'b0

lcd_cs <= cs_r

cnt_rst <= 1'b0

data <= 8'h0

case(state)

idle:

begin

next_state <= setbase_1

cnt_rst <= 1'b1

end

//**************************初始化LCD********************************

setbase_1: //基本指令 *** 作

begin

lcd_cs <= 2'b11

next_state <= setbase_2

data <= 8'hc0

en <= 1'b1

end

setbase_2:

begin

lcd_cs <= 2'b11

next_state <= setmode_1

data <= 8'hc0

end

//******************************************************************

setmode_1:

begin

lcd_cs <= 2'b11

next_state <= setmode_2

data <= 8'h3f

en <=1'b1

end

setmode_2:

begin

next_state <= SETpage_addr_1

data <= 8'h3f

end

//******************************************************************

SETpage_addr_1: //设置页地址

begin

next_state <= SETpage_addr_2

data <=

en <= 1'b1

end

SETpage_addr_2:

begin

next_state <= SETrow_addr_1

data <=

end

SETrow_addr_1: //设置列地址

begin

next_state <= SETrow_addr_2

data <=

en <= 1'b1

end

SETrow_addr_2:

begin

next_state <= wr_data_1

data <=

end

//******************************************************************

/*

write_right_1: //写完左半屏64个,换为右半屏显示

begin

next_state <=write_right_2

row_addr <= 0

end

write_right_2:

begin

next_state <= SETpage_addr_1

end

//******************************************************************

write_nextpage_1: //写完全一行128个

begin

next_state <=write_nextpage_2

row_addr <= 0

end

write_nextpage_2:

begin

next_state <= SETpage_addr_1

end

*/

//******************************************************************

wr_data_1: //写数据到图形显示区

begin

next_state <= wr_data_2

rs <= 1'b1

en <= 1'b1

data <= showdata

end

wr_data_2:

begin

rs <= 1'b1

data <= showdata

if(left_done) //写完左半屏数据64个

begin

if(page_done) //写完一页数据128个

begin

if(frame_done) //写完一屏数据(8页)

next_state <= idle

else

// next_state <= write_nextpage_1

next_state <= SETpage_addr_1

end

else

// next_state <= write_right_1

next_state <= SETpage_addr_1

end

else

next_state <= wr_data_1

end

default: next_state <= idle

endcase

end

//********************************************************************

always@(posedge clock)

begin

if(clk_div)

begin

if(cnt_rst)

begin

cnt <= 0

end

else if(state == wr_data_2)

begin

cnt <= cnt+1'b1

end

end

end

//****************************************************

always@(posedge clock or negedge rst_n)

if(!rst_n)

begin

cs_r <= 2'b01

page_addr <= 0

end

else

if(clk_div &&(state == wr_data_2))

if(page_done)//

begin

cs_r <= 2'b01

page_addr <= page_addr + 1'b1//一页写完时写下一页

end

else

if(left_done)

begin

cs_r <= 2'b10

end

//*********************************************************************

//********************************************************************

assign left_done = (cnt[5:0] == 6'd63) //写完左半屏数据64个

assign page_done = (cnt[6:0] == 7'd127) //写完一页数据128个

assign frame_done = (cnt[9:4] == 7'h3f) //写完一屏数据

//***********************************************************************

//*******************************************************************

//调用ROM(图片数据)

rom rom(.address(cnt+'d8),.clock(clock),.q(showdata))

endmodule

开发板例程 自己看吧

我可以帮助你,你先设置我最佳答案后,我百度Hii教你。


欢迎分享,转载请注明来源:内存溢出

原文地址: https://outofmemory.cn/yw/7882051.html

(0)
打赏 微信扫一扫 微信扫一扫 支付宝扫一扫 支付宝扫一扫
上一篇 2023-04-11
下一篇 2023-04-11

发表评论

登录后才能评论

评论列表(0条)

保存