用verilog在fpga上实现: 10k~100khz正弦波,三角波,锯齿波波形发生器(基于d

用verilog在fpga上实现: 10k~100khz正弦波,三角波,锯齿波波形发生器(基于d,第1张

楼上太犀利了,哈哈
你的第一二三条可以合成一条,直接在modesim里面写代码,testbench,然后仿真,当然也可以直接用quartus II里面的仿真器仿真,如果信号较少的话也很方便的。
四五六七条可以合成一条,直接在quartus II里面的全编译选项即可
编译完成后直接下载调试了。
还有既然开发FPGA,肯定要选择芯片的型号,具体的型号要看你有哪种FPGA的实体了,芯片上都有标示的。

以上就是关于用verilog在fpga上实现: 10k~100khz正弦波,三角波,锯齿波波发生器(基于d全部的内容,包括:用verilog在fpga上实现: 10k~100khz正弦波,三角波,锯齿波波形发生器(基于d、、等相关内容解答,如果想了解更多相关内容,可以关注我们,你们的支持是我们更新的动力!

欢迎分享,转载请注明来源:内存溢出

原文地址: https://outofmemory.cn/zz/10098487.html

(0)
打赏 微信扫一扫 微信扫一扫 支付宝扫一扫 支付宝扫一扫
上一篇 2023-05-05
下一篇 2023-05-05

发表评论

登录后才能评论

评论列表(0条)

保存