fpga verilog的按键消抖问题

fpga verilog的按键消抖问题,第1张

module sw_debounce(

clk,rst_n,

sw1_n,sw2_n,sw3_n,

led_d1,led_d2,led_d3

);

input clk; //主时钟信号,50MHz

input rst_n; //复位信号,低有效

input sw1_n,sw2_n,sw3_n; //三个独立按键,低表示按下

output led_d1,led_d2,led_d3; //发光二极管,分别由按键控制

//---------------------------------------------------------------------------

reg[2:0] key_rst;

always @(posedge clk or negedge rst_n)

if (!rst_n) key_rst <= 3'b111;

else key_rst <= {sw3_n,sw2_n,sw1_n};

reg[2:0] key_rst_r; //每个时钟周期的上升沿将low_sw信号锁存到low_sw_r中

always @ ( posedge clk or negedge rst_n )

if (!rst_n) key_rst_r <= 3'b111;

else key_rst_r <= key_rst;

//当寄存器key_rst由1变为0时,led_an的值变为高,维持一个时钟周期

wire[2:0] key_an = key_rst_r & ( ~key_rst);

//---------------------------------------------------------------------------

reg[19:0] cnt; //计数寄存器

always @ (posedge clk or negedge rst_n)

if (!rst_n) cnt <= 20'd0; //异步复位

else if(key_an) cnt <=20'd0;

else cnt <= cnt + 1'b1;

reg[2:0] low_sw;

always @(posedge clk or negedge rst_n)

if (!rst_n) low_sw <= 3'b111;

else if (cnt == 20'hfffff) //满20ms,将按键值锁存到寄存器low_sw中 cnt == 20'hfffff

low_sw <= {sw3_n,sw2_n,sw1_n};

//---------------------------------------------------------------------------

reg [2:0] low_sw_r; //每个时钟周期的上升沿将low_sw信号锁存到low_sw_r中

always @ ( posedge clk or negedge rst_n )

if (!rst_n) low_sw_r <= 3'b111;

else low_sw_r <= low_sw;

//当寄存器low_sw由1变为0时,led_ctrl的值变为高,维持一个时钟周期

wire[2:0] led_ctrl = low_sw_r[2:0] & ( ~low_sw[2:0]);

reg d1;

reg d2;

reg d3;

always @ (posedge clk or negedge rst_n)

if (!rst_n) begin

d1 <= 1'b0;

d2 <= 1'b0;

d3 <= 1'b0;

end

else begin //某个按键值变化时,LED将做亮灭翻转

if ( led_ctrl[0] ) d1 <= ~d1;

if ( led_ctrl[1] ) d2 <= ~d2;

if ( led_ctrl[2] ) d3 <= ~d3;

end

assign led_d3 = d1 1'b1 : 1'b0; //LED翻转输出

assign led_d2 = d2 1'b1 : 1'b0;

assign led_d1 = d3 1'b1 : 1'b0;

endmodule

前面的人,你在说什么啊!无聊!

对于前面的键盘消除抖动的问题,一般我是这么解决的

比如你要读一个按钮,假使是p1_0吧

一般是

a=p1_0;

if(a==0)//表示按钮有按下

{

wait20ms();

//等待20ms

a=p1_0;

if(a==0){

//这里可以真正处理你的按钮代码了

}

}

反正中间需要等一段时间,再读一次,要两次都判断按钮按下了,才认为是真正的按下

用外部中断的话,原理也是一样的,多一段时间再去读一下外部管脚的状态,以确认按钮是否正确按下

对的,差不多就是这样子,对的!

中断,还用消抖?

需要消抖的按键,就不要使用在中断输入引脚上。

外部中断,是用于处理那些需要紧急处理的设备。

按键,本是人工 *** 作的,属于不紧不慢的东西。

用它来触发中断,就是自己给自己添乱。

满意答案中,还说延时 10 ms,这样做,就失去了中断的意义。

//很久没搞了,可能会有语法问题。

define button1 P0^1 //定义按钮

if(button1==1) //如果被按下

{

int i;

int j=0;

for(i=4;i>1;i--) //设检测的次数

{

delay(10ms)

if(button1==1) j++; //如果按键有效,j加一

}

if (j>2) //检测j的有效次数

{

delay(100ms) //延时一段时间,防止重复触发

sub(执行条件下的程序)

}

}

通常采用延迟去抖动,就是让单片机取到一次低电平之后,过一段时间再次取低电平。中间的延迟时间取决于按键类型。代码的话网上很多,if(!key){delay(10)

if(!key){key=1;}}

以上就是关于fpga verilog的按键消抖问题全部的内容,包括:fpga verilog的按键消抖问题、外部中断怎么按键消抖、单片机外部中断0用按键输入,怎样消抖等相关内容解答,如果想了解更多相关内容,可以关注我们,你们的支持是我们更新的动力!

欢迎分享,转载请注明来源:内存溢出

原文地址: https://outofmemory.cn/zz/9505358.html

(0)
打赏 微信扫一扫 微信扫一扫 支付宝扫一扫 支付宝扫一扫
上一篇 2023-04-29
下一篇 2023-04-29

发表评论

登录后才能评论

评论列表(0条)

保存