EDA技术应用于计算机组成与结构实验教学|计算机组成与结构

EDA技术应用于计算机组成与结构实验教学|计算机组成与结构,第1张

摘 要计算机组成与结构实验课程是计算机专业以及相关专业学生要学习的一门必修课程,在这门课程中应用EDA技术进行教学,不仅能加深学生对计算机组成与结构课程的理解,也将有利于提高学生进行自主学习的能力以及创新的综合设计能力。正是符合了该课程的预期目的。

关键词计算机组成与结构;EDA技术;实验;应用

计算机及其相关专业的学生在学习过程中,除了要对理论知识加以了解,更重要的是要提升自己的动手能力。计算机组成与结构实验教学,就是计算机专业学生的必修课,通过课程设计把理论知识运用到实践中,可以起到拓展知识的作用。

一、EDA技术概述

EDA也就是电子设计自动化,EDA技术的发展经历了计算机辅助设计、计算机辅助制造、计算机辅助测试以及计算机辅助工程。EDA技术的工具就是计算机,在EDA软件平台上,设计者常常用硬件描述语言HDL来完成具体的课程设计文件,然后再在计算机平台上自动完成逻辑编译、分割、优化、布局、仿真等多个步骤。

EDA技术最大的优势在于用软件的方法来实现硬件的实际功效。一项设计最重要的部分是仿真和调试,采用EDA技术进行设计时,从设计的高层次上对设计进行全局纵览,有助于早期改造结构设计上的毛病,避免工作量的增加,也可以减少进行逻辑功效仿真的工作量,增加设计的成功率。

二、将EDA技术应用于计算机组成与结构课程设计

计算机是一个典型的复杂数字系统,如果在计算机设计系统开发中加入EDA技术的软硬件设计平台,不仅可以提高系统设计与调试的敛率,也可以节约硬件开发成本,缩短设计周期。因此在计算机组成与结构的实践教学中,要不断提高学生利用现代化的电子技术手段进行设计的能力。当然,EDA技术将成为计算机组成与结构实验教学的发展方向。

(一)课程的实施方案

课程设计是要完成模型计算机的设计以及FPGA的实现,而课程实验则是用来验证计算机的各个组成部件以及其具体的逻辑功能的。这两种课程内容都是为了让学生能够掌握计算机的硬件系统中各个部件的具体组成原理、逻辑实现方法及其具体的设计方法,从而建立一种整体的概念,提高学生在学习过程中进行独立分析设计的能力。计算机组成与结构的课程设计中运用了多门课程,比如计算机组成原理、VHDL、汇编语言程序设计等,这些课程都能对学生的自学能力有很好的提高。因为该课程设计不仅在理论上要求学生有扎实的理论基础,在实践上则要求学生具有电路分析与设计、能进行完整实验的能力。

(二)课程设计的内容

计算机组成与结构实验教学中,进行课程设计的内容主要有以下几个方面。首先是进行系统的总体设计,画出模型机的数据通路框图;其次是设计微程序控制器或者硬联线控制器的逻辑结构框图;开始设计机器指令格式和指令系统;由给出的课程题目和设计指令系统来编写相应的汇编语言,进行仿真等。

三、将EDA技术应用于计算机组成与结构课程实验

进行课程设计之后就可以进行具体的课程实践。在进行课程实践之前,要掌握具体的设计方法。计算机的CPU包含基本的功能模块以及与基本功能模块相连的数据通路。在进行课程设计实践时要掌握基本功能模块的具体功能以及各自的特点,再对每个模块进行设计、调试、软件仿真和硬件设计等。计算机组成与结构课程设计实验中采用的CPU采用大多是单总线系统结构的16位CISC CPU,EDA软件大多是可编程逻辑器件设计工具软件。

(一)基本模犁计算机的设计

在具体的课程设计中,为了保证清晰的系统结构,一般在系统的顶层结构采用原理图输入法,而在其他的模块都采用VHDL语言进行设计。对各个模块进行处理时要在文本编辑器中输入每一个单元模块所对应的VHDL源程序,并且要对各个源程序进行编译,可以产生相应的图元,供顶层的电路调用使用。各个模块的图元可以生成图元库,运用EDA技术进行实验课程,很重要的一步就是要进行软件仿真,而仿真的元器件就来源于图元库。在图形编辑器中可以对图元库中的各种图元进行调用,再根据数据通路的总体框架图连接成顶层电路图,就可以进行电路的仿真。计算机组成与结构实验所设计的CISC模型机的顶层电路图中有很多基本器件模块,比如时序信号发生器、程序计数器、算术逻辑运算单元、移位寄存器、指令寄存器、比较器、地址寄存器、一个控制单元等。而这些模块也共用一组16位的三态数据总线。

系统结构中的存储模块是一个重要的组成部分,存储元件由嵌入式阵列块构成,通过调用宏模块并设置模块相关的参数来实现存储功能。系统的各个部分都承担了不同的功能,其中,存储CPU主要是对指令和数据进行执行,具体的过程是处理器从存储元件中读取相应的指令,CPU再执行指令来运行下行的各种程序,整个过程中的指令都被存储在指令寄存器中。译码过程由控制单元完成,控制单元主要是控制相应的信号进行相互作用,并且控制各个处理单元来执行这些指令。

系统结构中的控制模块其实是一个状态机,它主要控制CPU的各项动作之间的顺序,比如取指令、译码、执行指令,控制模块进行 *** 作时要针对各个动作发出具体的时序控制信号,使得计算机内部的各个动作都能进行协调的工作,进而完成各个指令的具体功能。这种方法与微程序设计方法不同,微程序设计法主要在控制存储器中写入微指令,通过控制微程序来执行具体的控制指令。

(二)软件设计

当系统CPU得到一个复位信号后,系统即开始进行复制 *** 作,复位信号是使CPU内部状态复位的一个信号 *** 作。一般说来,系统的每个寄存器都有不同的功能,寄存器1主要存放模块的的起始地址,寄存器2主要存放系统目标区的起始地址,而寄存器6则主要存放被复制模块的末地址。在具体的 *** 作过程中要判断数据模块的复制工作是否已经结束,若已经满足结束条件则可以停止运行,否则要继续记数直至数据模块复制完成。

将设计的程序输入并且进行编译之后,还有一个重要的步骤就是仿真,仿真也是对设计进行验证的一个重要步骤,若在仿真中发现不符合要求的地方,则要及时找出原因进行改正,以保证最终结果的正确性。

结语

计算机组成与结构课程是锻炼学生积极思考以及提升其思维能力的重要课程,不同的设计对象和内容导致设计的具体内容完全不同,这也考验了学生独立思考的能力,由于EDA技术与计算机输入技术、逻辑编程和仿真等方面都有紧密的联系,而且在硬件实验之后有具体的图像可以进行对比,因此在实验教学中具有很好的灵活性和可 *** 作性。也能提高学生进行软件开发的能力,可以达到课程设计的效果。

参考文献

[1]陈智勇计算机原理课程设计的改革与实践[J]电气电子教学学报,2005,27(5):71—73

[2]周华,王斐EDA技术的特点与发展趋势[J]西安航空技术高等专科学校学报,2009(03):98-99

[3]张亮应用EDA技术改革“计算机组成原理”课程设计[J]计算机教育,2009(19)::753

LIBRARY IEEE;

USE IEEESTD_LOGIC_1164ALL;

ENTITY DECODE3_8 IS

PORT ( DIN : IN STD_LOGIC_VECTOR (2 DOWNTO 0);

EN : IN STD_LOGIC;

XOUT : OUT STD_LOGIC_VECTOR (7 DOWNTO 0));

END DECODE3_8;

ARCHITECTURE ONE OF DECODE3_8 IS

BEGIN

PROCESS (DIN, EN)

BEGIN

IF EN = ‘1’ THEN

IF DIN = “111” THEN XOUT <= “11111110”;

ELSIF DIN = “110” THEN XOUT <= “11111101”;

ELSIF DIN = “101” THEN XOUT <= “11111011”;

ELSIF DIN = “100” THEN XOUT <= “11110111”;

ELSIF DIN = “011” THEN XOUT <= “11101111”;

ELSIF DIN = “010” THEN XOUT <= “11011111”;

ELSIF DIN = “001” THEN XOUT <= “10111111”;

ELSE XOUT <= “11111011”;

END IF;

END PROCESS;

END ONE;

实验四 七段数码管显示电路一、实验目的实现十六进制计数显示。二、硬件需求EDA/SOPC实验箱一台。三、实验原理七段数码管分共阳极与共阴极两种。共阳极数码管其工作特点是,当笔段电极接低电平,公共阳极接高电平时,相应笔段可以发光。共阴极数码管则与之相反,它是将发光二极管的阴极短接后作为公共阴极,当驱动信号为高电平、公共阴极接低电平时,才能发光。图2-13为共阳极数码管和共阴极数码管的内部结构图。图2-13 共阳极数码管和共阴极数码管的内部结构图用七段数码管除了可以显示0~9的阿拉伯数字外,还可以显示一些英语字母。下表是常见的字母与7段显示关系(共阴极数码管)。

段字母 a b c d e f g

A 0 0 0 1 0 0 0

B 1 1 0 0 0 0 0

C 0 1 1 0 0 0 1

D 1 0 0 0 0 1 0

E 0 1 1 0 0 0 0

F 1 0 0 0 1 1 1

H 0 1 1 0 1 1 1

四、实验内容编写一个0~F轮换显示的电路(注意:选用实验箱中的共阳数码管DP1A,FPGA上P25引脚连接50MHz时钟。实验时为了便于观察,要将50MHz时钟经过分频得到1Hz时钟)。五、实验步骤(1)实验程序LIBRARY IEEE;USE IEEEstd_logic_1164all;USE IEEEstd_logic_unsignedall;ENTITY exp2 ISGENERIC(n:INTEGER :=6);port(clk: IN std_logic;led: OUT std_logic_vector(6 DOWNTO 0));END exp2;ARCHITECTURE example OF exp2 ISSIGNAL sel:INTEGER RANGE 0 TO n-1 :=0;SIGNAL f_out:std_logic;SIGNAL count:INTEGER RANGE 0 TO 15 :=0;

BEGINPROCESS(clk)BEGINIF clk'event and clk='1' THENIF sel>n-2 THEN sel<=0;ELSEIF sel>=n/2 THENf_out<='1';ELSEf_out<='0';END IF;sel<=sel+1;END IF;END IF;END PROCESS;PROCESS(f_out)BEGINIF f_out'event and f_out='1' THENIF count<=15 THENcount<=count+1;ELSEcount<=0;END IF;END IF;END PROCESS;PROCESS(count)BEGINCASE count ISWHEN 0 => led <="0000001";WHEN 1 => led <="1001111";WHEN 2 => led <="0010010";WHEN 3 => led <="0000110";WHEN 4 => led <="1001100";WHEN 5 => led <="0100100";WHEN 6 => led <="0100000";WHEN 7 => led <="0001111";WHEN 8 => led <="0000000";WHEN 9 => led <="0000100";WHEN 10 => led <="1110111";WHEN 11 => led <="0011111";WHEN 12 => led <="1001110";WHEN 13 => led <="0111101";WHEN 14 => led <="1001111";WHEN 15 => led <="0111000";

59

百度文库VIP限时优惠现在开通,立享6亿+VIP内容

立即获取

可编程逻辑器件实验EDA-七段数码管显示电路

实验四 七段数码管显示电路

一、实验目的

实现十六进制计数显示。

二、硬件需求

EDA/SOPC实验箱一台。

三、实验原理

七段数码管分共阳极与共阴极两种。共阳极数码管其工作特点是,当笔段电极接低电平,公共阳极接高电平时,相应笔段可以发光。共阴极数码管则与之相反,它是将发光二极管的阴极短接后作为公共阴极,当驱动信号为高电平、公共阴极接低电平时,才能发光。图2-13为共阳极数码管和共阴极数码管的内部结构图

eda编程9人表决器程序详解://本程序用VERILOG HDL语言实现,描述9人表决器。

module biaojueqi(vote,ledr,ledg,dis_out)

input [8:0] vote

reg [6:0] dis_out;

integer i,sum; //sum表示赞同的人数

for(i=0;i<=8;i=i+1)

if(vote[i]) sum<=sum+1;

end

always @(sum) //结果由dis_out显示在数码管上

case (sum)

0: dis_out[6:0]<=7'b1111110;

1: dis_out[6:0]<=7'b0110000;

2: dis_out[6:0]<=7'b1101101;

3: dis_out[6:0]<=7'b1111001;

4: dis_out[6:0]<=7'b0110011;

5: dis_out[6:0]<=7'b1011011;

6: dis_out[6:0]<=7'b1011111;

7: dis_out[6:0]<=7'b1110000;

8: dis_out[6:0]<=7'b1111111;

9: dis_out[6:0]<=7'b1111011;

endmodule

内容简介

本书从实际应用的角度出发,全面系统地介绍了EDA技术和硬件描述语言VHDL,将VHDL的基础知识、编程技巧、实用方法与实际工程开发技术在EDA软件设计平台上很好地结合起来,使读者能够通过本书的学习迅速了解并掌握EDA技术的基本理论和工程开发实用技术。

立创eda函数发生器在右侧的属性框内。根据查询相关公开信息显示:函数发生器在右侧的属性框内,选择正弦波、三角波或矩形波作为输入方式,设置测试的频率、占空比、振幅、偏置和上升/下降时间。

eda技术有什么特点

目前EDA技术已在各大公司、企事业单位和科研教学部门广泛使用。下面是我收集的关于eda技术有特点,希望大家认真阅读!

1 软件硬化,硬件软化

软件硬化是指所有的软件设计最后转化成硬件来实现,用软件方式设计的系统到硬件系统的转换是由EDA开发软件自动完成的;硬件软化是指硬件的设计使用软件的方式来进行,尽管目标系统是硬件,但整个设计和修改过程如同完成软件设计一样方便和高效。

2 自顶向下(top-down)的设计方法

传统的电路设计方法基本上都自向上的,即首先确定可用的元器件,然后根据这些器件进行逻辑设计,完成各模块后进行连接,最后形成系统。而后经调试、测量看整个系统是否达到规定的性能指标。整个设计过程将花费大量的时间与经费,且很多外在因素与设计者自身经验的制约,已经不适宜于现代数字系统设计。

基于EDA技术的设计方法正好相反,它主要采用并行工程和“自顶向下”的设计方法,使开发者从一开始就要考虑到产品生成周期的诸多方面,包括质量、成本、开发时间及用户的需求等。首先从系统设计入手,在顶层进行功能划分和结构设计,由于采用高级语言描述,能在系统级采用仿真手段验证设计的正确性。然后再逐级设计低层的结构,用VHDL、Verilog HDL等硬件描述语言对高层次的系统行为进行电路描述,最后再用逻辑综合优化工具生成具体的门级逻辑电路的网表,其对应的物理实现级可以是印刷电路板或专用集成电路。

3 集设计、仿真和测试于一体

现代的EDA软件平台集设计、仿真、测试于一体,配备了系统设计自动化的全部工具:配置了多种能兼用和混合使用的逻辑描述输入工具;配置了高性能的逻辑综合、优化和仿真测试工具。电子设计师可以从概念、算法、协议等开始设计电子系统,可以将电子产品从电路设计、性能分析到设计出IC版图或PCB版图的整个过程在计算机上自动处理完成。较以往的设计方法,大大提高了设计效率,降低了设计者的工作负担。

4 在系统可现场编程,在线升级

编程是把系统设计的程序化数据,按一定的格式装入一个或多个可编程逻辑器件的编程存储单元,定义内部模块的逻辑功能以及它们的相互连接关系。早期的可编程逻辑器件的编程需要将芯片从印制板上拆下,然后把它插在专用的编程器上进行的。目前EDA技术广泛采用的在系统可编程技术就是为克服这一缺点而产生的。

所谓系统内可配置是指可编程逻辑器件除了具有为设计者提供系统内可编程的能力,还具有将器件插在系统内或电路板仍然可以对其进行编程和再编程的能力。目前FPGA/CPLD器件为设计者提供系统内可再编程或可再配置能力,即只要把器件安装在系统电路板上,就可对其进行编程或再编程,使得系统内硬件的功能可以像软件一样地被编程来配置,这就为设计者进行电子系统设计和开发提供了可实现的最新手段。采用这种技术,对系统的设计、制造、测试和维护也产生了重大的影响,给样机设计、电路板调试、系统制造和系统升级带来革命性的变化。

5 设计工作标准化,模块可移置共享

近几年来,芯片复杂程度越高,对EDA的依赖也越高。 设计语言、EDA的底层技术及其接口的标准化,能很好地对涉及结果进行交换、共享及重用。

EDA设计工作的重要设计语言——硬件描述语言HDL已经逐步标准化。VHDL在1987年被IEEE采纳为硬件描述语言标准(IEEE 1076—1987),VHDL同时也是军事标准(454)和ANSI标准。Verilog HDL在1995年成为IEEE标准(IEEE 1364—1995),2001年发布了IEEE 1364—2001。作为两大被国际IEEE组织认定的工业标准硬件描述语言, VHDL和Verilog HDL为众多的EDA厂商支持,且移植性好。

数据格式的一致性通过标准保证。对EDA的底层技术、EDA软件之间的接口等采用标准数据格式,如EDIF网表文件是一种用于设计数据交换和交流的工业标准文件格式文件。这样,不同设计风格和应用的要求导致各具特色的EDA工具都能被集成在易于管理的统一环境之下,支持任务之间、项目之间、设计工程师之间的信息传输和工程数据共享,从而使EDA框架日趋标准化。并行设计工作和自顶向下设计方法也是构建电子系统集成设计环境或集成设计平台的基本规范。目前,主要的EDA系统都建立了框架结构,并且它们都遵循国际计算机辅助设计框架结构组织CFI(CAD Framework International)的统一技术标准。

因此,EDA技术代表了当今数字系统设计技术的最新发展方向。

;

EDA技术主要概念

EDA(电子线路设计座自动化)是以计算机为工作平台、以硬件描述语言(VHDL)为设计语言、以可编程器件(CPLD/FPGA)为实验载体、以ASIC/SOC芯片为目标器件、进行必要元件建模和系统仿真电子产品自动化设计过程。下面是我整理的EDA技术主要概念相关内容。

EDA软件简介

“EDA”就是Electronic Design AutomaTIon(电子设计自动化),也就是能够帮助人们设计电子电路或系统软件工具,该工具可以使设计更复杂电路和系统成为可能。目前进入我国并具有广泛影响EDA软件有:muhisim7、OW_AD、Protel、Viewlogio、Mentor、Synopsys、PCBW Id、Cadence、MicmSim等等,这些软件各具特色,大体分为芯片级设计工具、电路板级设计工具、可编程逻辑器件开发工具和电路仿真工具等几类;其中Protel是国内最流行、使用最广泛一种印制电路板设计首选软件,由澳大利亚protd Technology公司出品,过去只是用来进行原理图输入和PCB版图设计,从Protel 98开始,加入了模拟数字混合电路仿真模块和可编程逻辑器件设计模块,1999年Protel推出了功能更加强大EDA综合设计环境Protel 99,它将EDA全部内容整合为一体,成为完整EDA软件,因而该软件发展潜力很大,但它最具特色和最强大功能仍是原理图输人和PCB版图设计。

EDA技术主要内容

EDA技术涉及面很广,内容丰富,从教学和实用角度看,主要应掌握如下4个方面内容:一是大规模可编程逻辑器件;二是硬件描述语言;三是软件开发工具;四是实验开发系统。其中,大规模可编程逻辑器件是利用EDA技术进行电子系统设计载体,硬件描述语言是利用EDA技术进行电子系统设计主要表达手段,软件开发工具是利用EDA技术进行电子系统设计智能化自动设计工具,实验开发系统则是利用EDA技术进行电子系统设计下载工具及硬件验证工具。

EDA技术主要特征

1、用软件设计方法来设计硬件

硬件系统转换是由有关开发软件自动完成,设计输入可以是原理图VHDL语言,通过软件设计方式测试,实现对特定功能硬件电路设计,而硬件设计修改工作也如同修改软件程序一样快捷方便,设计整个过程几乎不涉及任何硬件,可 *** 作性、产品互换性强。

2、基于芯片设计方法

EDA设计方法又称为基于芯片设计方法,集成化程度更高,可实现片上系统集成,进行更加复杂电路芯片化设计和专用集成电路设计,使产品体积小、功耗低、可靠性高;可在系统编程或现场编程,使器件编程、重构、修改简单便利,可实现在线升级;可进行各种仿真,开发周期短,设计成本低,设计灵活性高。

3、自动化程度高

EDA技术根据设计输入文件,将电子产品从电路功能仿真、性能分析、优化设计到结果测试全过程在计算机上自动处理完成,自动生成目标系统,使设计人员不必学习许多深入专业知识,也可免除许多推导运算即可获得优化设计成果,设计自动化程度高,减轻了设计人员工作量,开发效率高。

4、自动进行产品直面设计

EDA技术根据设计输入文件(HDL或电路原理图),自动地进行逻辑编译、化简、综合、仿真、优化、布局、布线、适配以及下载编程以生成目标系统,即将电子产品从电路功能仿真、性能分析、优化设计到结果测试全过程在计算机上自动处理完成;

EDA技术要点

1、可编程逻辑器件-PLD

数字逻辑器件发展直接反映了从分立元件、中小规模标准芯片过渡到可编程逻辑器件过程。ISP技术和HDPLD器件使设计人员能够在实验室中方便地开发专用集成数字电路芯片ASIC当前,国内外许多著名厂商均已开发出新一代ISP器件以及相应开发软件(如Synario、EXPERT、FundaTIon、MAX Plus2等)。

2、“自顶而下”设计方法

10年前,电子设计基本思路还是选择标准集成电路“自底向上”(Bottom-Up)地构造出一个新系统。这样设计方法如同一砖一瓦建造楼房,不仅效率低、成本高而且容易出错,高层次设计给我们提供了一种“自顶向下”(Top-Down)全新设计方法,这种方法首先从系统入手,在顶层进行功能方框图划分和结构设计,在方框图一级进行仿真、纠错,并用硬件描述语言对高层系统进行描述,在系统一级进行验证,然后用综合优化工具生成具体门电路网表,其对应物理实现级可以是印刷电路板或专用集成电路,由于设计主要仿真和调试过程是在高层次上完成,这既有利于早期发现结构设计上错误,避免设计工时浪费,同时也减少了逻辑功能仿真工作量,提高了设计一次成功率。

扩展: EDA技术布局常用规则

1我们要注意贴片器件(电阻电容)与芯片和其余器件的最小距离芯片:一般我们定义分立器件和IC芯片的距离05~07mm,特殊的地方可能因为夹具配置的不同而改变

2对于分立直插的器件

一般的`电阻如果为分立直插的比贴片的距离略大一般在1~3mm之间。注意保持足够的间距(因为加工的麻烦,所以直插的基本不会用)

3对于IC的去耦电容的摆放

每个IC的电源端口附近都需要摆放去耦电容,且位置尽可能靠近IC的电源口,当一个芯片有多个电源口的时候,每个口都要布置去耦电容。

4在边沿附近的分立器件

由于一般都是用拼板来做PCB,因此在边沿附近的器件需要符合两个条件,第一就是与切割方向平行(使器件的应力均匀),第二就是在一定距离之内不能布置器件(防止板子切割的时候损坏元器件)

5如果相邻的焊盘需要相连,首先确认在外面进行连接,防止连成一团造成桥接,同时注意此时的铜线的宽度。

6焊盘如果在铺通区域内需要考虑热焊盘(必须能够承载足够的电流),如果引线比直插器件的焊盘小的话需要加泪滴(角度小于45度),同样适用于直插连接器的引脚。

7元件焊盘两边的引线宽度要一致,如果时间焊盘和电极大小有差距,要注意是否会出现短路的现象,最后要注意保留未使用引脚的焊盘,并且正确接地或者接电源。

8 注意通孔最好不要打在焊盘上。

9另外就是要注意的是引线不能和板边过近,也不允许在板边铺铜(包括定位孔附近区域)

10大电容:首先要考虑电容的环境温度是否符合要求,其次要使电容尽可能的远离发热区域

;

是电子设计自动化。

电子设计自动化(英语:Electronicdesignautomation,缩写:EDA)是指利用计算机辅助设计(CAD)软件,来完成超大规模集成电路(VLSI)芯片的功能设计、综合、验证、物理设计(包括布局、布线、版图、设计规则检查等)等流程的设计方式。

在电子产业中,由于半导体产业的规模日益扩大,EDA扮演越来越重要的角色。使用这项技术的厂商多是从事半导体器件制造的代工制造商,以及使用EDA模拟软件以评估生产情况的设计服务公司。EDA工具也应用在现场可编程逻辑门阵列的程序设计上。

扩展资料

eda的历史发展

在电子设计自动化出现之前,设计人员必须手工完成集成电路的设计、布线等工作,这是因为当时所谓集成电路的复杂程度远不及现在。工业界开始使用几何学方法来制造用于电路光绘(photoplotter)的胶带。

到了1970年代中期,开发人应尝试将整个设计过程自动化,而不仅仅满足于自动完成掩膜草图。第一个电路布局、布线工具研发成功。设计自动化研讨会(DesignAutomationConference)在这一时期被创立,旨在促进电子设计自动化的发展。

电子设计自动化发展的下一个重要阶段以卡弗尔·米德(CarverMead)和琳·康维于1980年发表的论文《超大规模集成电路系统导论》(IntroductiontoVLSISystems)为标志。这一篇具有重大意义的论文提出了通过编程语言来进行芯片设计的新思想。

如果这一想法得到实现,芯片设计的复杂程度可以得到显著提升。这主要得益于用来进行集成电路逻辑仿真、功能验证的工具的性能得到相当的改善。随着计算机仿真技术的发展,设计项目可以在构建实际硬件电路之前进行仿真,芯片布局、布线对人工设计的要求降低。

而且软件错误率不断降低。直至今日,尽管所用的语言和工具仍然不断在发展,但是通过编程语言来设计、验证电路预期行为,利用工具软件综合得到低抽象级(或称“后端”)物理设计的这种途径,仍然是数字集成电路设计的基础。

参考资料来源 百度百科-eda

以上就是关于EDA技术应用于计算机组成与结构实验教学|计算机组成与结构全部的内容,包括:EDA技术应用于计算机组成与结构实验教学|计算机组成与结构、EDA,VHDL语言设计:十进制可逆计数器(加减计数器)设计,要求异步清零。 7分频器的设计(不要求占空比)、eda实验如何实现60进制计数器七段数码管的显示等相关内容解答,如果想了解更多相关内容,可以关注我们,你们的支持是我们更新的动力!

欢迎分享,转载请注明来源:内存溢出

原文地址: https://outofmemory.cn/zz/9838204.html

(0)
打赏 微信扫一扫 微信扫一扫 支付宝扫一扫 支付宝扫一扫
上一篇 2023-05-02
下一篇 2023-05-02

发表评论

登录后才能评论

评论列表(0条)

保存