半导体产业深度报告:制造业巅峰,晶圆代工赛道持续繁荣

半导体产业深度报告:制造业巅峰,晶圆代工赛道持续繁荣,第1张

台积电开启晶圆代工时代,成为集成电路中最为重要的一个环节。 1987 年,台积电的成立开启了 晶圆代工时代,尤其在得到了英特尔的认证以后,晶圆代工被更多的半导体厂商所接受。晶圆代工 打破了 IDM 单一模式,成就了晶圆代工+IC 设计模式。目前,半导体行业垂直分工成为了主流, 新进入者大多数拥抱 fabless 模式,部分 IDM 厂商也在逐渐走向 fabless 或者 fablite 模式。

全球晶圆代工市场一直呈现快速增长,未来有望持续 。晶圆代工+IC 设计成为行业趋势以后,受益 互联网、移动互联网时代产品的强劲需求,整个行业一直保持快速增长,以台积电为例,其营业收 入从 1991 年的 1.7 亿美元增长到 2019 年的 346 亿美元,1991-2019 年,CAGR 为 21%。2019 年全球晶圆代工市场达到了 627 亿美元,占全球半导体市场约 15%。未来进入物联网时代,在 5G、 人工智能、大数据强劲需求下,晶圆代工行业有望保持持续快速增长。

晶圆代工行业现状:行业呈现寡头集中。 晶圆代工是制造业的颠覆,呈现资金壁垒高、技术难度大、 技术迭代快等特点,也因此导致了行业呈现寡头集中,其中台积电是晶圆代工行业绝对的领导者, 营收占比超过 50%,CR5 约为 90%。

晶圆代工行业资金壁垒高。 晶圆代工厂的资本性支出巨大,并且随着制程的提升,代工厂的资本支 出中枢不断提升。台积电资本支出从 11 年的 443 亿元增长到 19 年的 1094 亿元,CAGR 为 12%。 中芯国际资本性支出从 11 年的 30 亿元增长到了 19 年的 131 亿元,CAGR 为 20%,并且随着 14 nm 及 N+1 制程的推进,公司将显著增加 2020 年资本性支出,计划为 455 亿元。巨额投资将众多 追赶者挡在门外,新进入者难度极大。

随着制程提升,晶圆代工难度显著提升。 随着代工制程的提升,晶体管工艺、光刻、沉积、刻蚀、 检测、封装等技术需要全面创新,以此来支撑芯片性能天花板获得突破。

晶体管工艺持续创新。 传统的晶体管工艺为 bulk Si,也称为体硅平面结构(Planar FET)。 随着 MOS 管的尺寸不断的变小,即沟道的不断变小,会出现各种问题,如栅极漏电、泄漏功 率大等诸多问题,原先的结构开始力不从心,因此改进型的 SOI MOS 出现,与传统 MOS 结 构主要区别在于:SOI 器件具有掩埋氧化层,通常为 SiO2,其将基体与衬底隔离。由于氧化 层的存在,消除了远离栅极的泄漏路径,这可以降低功耗。随着制程持续提升,常规的二氧 化硅氧化层厚度变得极薄,例如在 65nm 工艺的晶体管中的二氧化硅层已经缩小仅有 5 个氧 原子的厚度了。二氧化硅层很难再进一步缩小了,否则产生的漏电流会让晶体管无法正常工 作。因此在 28nm 工艺中,高介电常数(K)的介电材料被引入代替了二氧化硅氧化层(又称 HKMG 技术)。随着设备尺寸的缩小,在较低的技术节点,例如 22nm 的,短沟道效应开始 变得更明显,降低了器件的性能。为了克服这个问题,FinFET 就此横空出世。FinFET 结构 结构提供了改进的电气控制的通道传导,能降低漏电流并克服一些短沟道效应。目前先进制 程都是采用 FinFET 结构。

制程提升,需要更精细的芯片,光刻机性能持续提升。 负责“雕刻”电路图案的核心制造设备是光刻机,它是芯片制造阶段最核心的设备之一,光刻机的精度决定了制程的精度。第四 代深紫外光刻机分为步进扫描投影光刻机和浸没式步进扫描投影光刻机,其中前者能实现最 小 130-65nm 工艺节点芯片的生产,后者能实现最小 45-22nm 工艺节点芯片的生产。通过多 次曝光刻蚀,浸没式步进扫描投影光刻机能实现 22/16/14/10nm 芯片制作。到了 7/5nm 工艺, DUV 光刻机已经较难实现生产,需要更为先进的 EUV 光刻机。EUV 生产难度极大,零部件 高达 10 万多个,全球仅 ASML 一家具备生产能力。目前 EUV 光刻机产量有限而且价格昂 贵,2019 年全年,ASML EUV 销量仅为 26 台,单台 EUV 售价高达 1.2 亿美元。

晶圆代工技术迭代快,利于头部代工厂。 芯片制程进入 90nm 节点以后,技术迭代变快,新的制程 几乎每两到三年就会出现。先进制程不但需要持续的研发投入,也需要持续的巨额资本性支出,而 且新投入的设备折旧很快,以台积电为例,新设备折旧年限为 5 年,5 年以后设备折旧完成,生产 成本会大幅度下降,头部厂商完成折旧以后会迅速降低代工价格,后进入者难以盈利。

2.1摩尔定律延续,技术难度与资本投入显著提升

追寻摩尔定律能让消费者享受更便宜的 力,晶圆代工是推动摩尔定律最重要的环节。 1965 年, 英特尔(Intel)创始人之一戈登·摩尔提出,当价格不变时,集成电路上可容纳的元器件的数目, 约每隔 18-24 个月便会增加一倍,性能也将提升一倍,这也是全球电子产品整体性能不断进化的核 心驱动力,以上定律就是著名的摩尔定律。换而言之,每一美元所能买到的电脑性能,将每隔 18- 24 个月翻一倍以上。推动摩尔定律的核心内容是发展更先进的制程,而晶圆代工是其中最重要的 环节。

摩尔定律仍在延续。 市场上一直有关于摩尔定律失效的顾虑,但是随着 45nm、28nm、10nm 持续 的推出,摩尔定律仍然保持着延续。台积电在 2018 年推出 7nm 先进工艺,2020 年开始量产 5nm, 并持续推进 3nm 的研究,预计 2022 年量产 3nm 工艺。IMEC 更是规划到了 1nm 的节点。此外, 美国国防高级研究计划局进一步提出了先进封装、存算一体、软件定义硬件处理器三个未来发展研 究与发展方向,以此来超越摩尔定律。在现在的时间点上来看,摩尔定律仍然在维持,但进一步提 升推动摩尔定律难度会显著提升。

先进制程资本性投入进一步飙升 。根据 IBS 的统计,先进制程资本性支出会显著提升。以 5nm 节 点为例,其投资成本高达数百亿美金,是 14nm 的两倍,是 28nm 的四倍。为了建设 5nm 产线, 2020 年,台积电计划全年资本性将达到 150-160 亿美元。先进制程不仅需要巨额的建设成本,而 且也提高了设计企业的门槛,根据 IBS 的预测,3nm 设计成本将会高达 5-15 亿美元。

3nm 及以下制程需要采用全新的晶体管工艺。 FinFET 已经历 16nm/14nm 和 10nm/7nm 两个工艺 世代,随着深宽比不断拉高,FinFET 逼近物理极限,为了制造出密度更高的芯片,环绕式栅极晶 体管(GAAFET,Gate-All-Ground FET)成为新的技术选择。不同于 FinFET,GAAFET 的沟道被 栅极四面包围,沟道电流比三面包裹的 FinFET 更加顺畅,能进一步改善对电流的控制,从而优化 栅极长度的微缩。三星、台积电、英特尔均引入 GAA 技术的研究,其中三星已经先一步将 GAA 用 于 3nm 芯片。如果制程到了 2nm 甚至 1nm 时,GAA 结构也许也会失效,需要更为先进的 2 维 、 甚至 3 维立体结构,目前微电子研究中心(Imec)正在开发面向 2nm 的 forksheet FET 结构。

3nm 及以下制程,光刻机也需要升级。 面向 3nm 及更先进的工艺,芯片制造商或将需要一种称为 高数值孔径 EUV(high-NA EUV)的光刻新技术。根据 ASML 年报,公司正在研发的下一代极紫 外光刻机将采用 high-NA 技术,有更高的数值孔径、分辨率和覆盖能力,较当前的 EUV 光刻机将 提高 70%。ASML 预测高数值孔径 EUV 将在 2022 年以后量产。

除上面提到巨额资本与技术难题以外,先进制程对沉积与刻蚀、检测、封装等环节也均有更高的要 求。正是因为面临巨大的资本和技术挑战,目前全球仅有台积电、三星、intel 在进一步追求摩尔定 律,中芯国际在持续追赶,而像联电、格罗方德等晶圆代工厂商已经放弃了 10nm 及以下制程工艺 的研发,全面转向特色工艺的研究与开发。先进制程的进一步推荐节奏将会放缓,为中芯国际追赶 创造了机会。

2.2先进制程占比持续提升,成熟工艺市场不断增长

高性能芯片需求旺盛,先进制程占比有望持续提升。 移动终端产品、高性能计算、 汽车 电子和通信 及物联网应用对算力的要求不断提升,要求更为先进的芯片,同时随着数据处理量的增加,存储芯 片的制程也在不断升级,先进制程的芯片占比有望持续提升。根据 ASML2018 年底的预测,到 2025 年,12 寸晶圆的先进制程占比有望达到 2/3。2019 年中,台积电 16nm 以上和以下制程分别占比 50%,根据公司预计,到 2020 年,16nm 及以下制程有望达到 55%。

CPU、逻辑 IC、存储器等一般采用先进制程(12 英寸),而功率分立器件、MEMS、模拟、CIS、 射频、电源芯片等产品(从 6μm 到 40nm 不等)则更多的采用成熟工艺(8 寸片)。 汽车 、移动 终端及可穿戴设备中超过 70%的芯片是在不大于 8 英寸的晶圆上制作完成。相比 12 寸晶圆产线,8 寸晶圆制造厂具备达到成本效益生产量要求较低的优势,因此 8 寸晶圆和 12 寸晶圆能够实现优 势互补、长期共存。

受益于物联网、 汽车 电子的快速发展,MCU、电源管理 IC、MOSFET、ToF、传感器 IC、射频芯 片等需求持续快速增长。 社会 已经从移动互联网时代进入了物联网时代,移动互联网时代联网设备 主要是以手机为主,联网设备数量级在 40 亿左右,物联网时代,设备联网数量将会成倍增加,高 通预计到 2020 年联网 设备数量有望达到 250 亿以上。飙升的物联网设备需要需要大量的成熟工艺 制程的芯片。以电源管理芯片为例,根据台积电年报数据,公司高压及电源管理晶片出货量从 2014 年的 1800 万片(8 寸)增长到 2019 年的 2900 万片,CAGR 为 10%。根据 IHS 的预测,成熟晶 圆代工市场规模有望从 2020 年的 372 亿美元增长到 2025 年的 415 亿美元。

特色工艺前景依旧广阔,主要代工厂积极布局特色工艺。 巨大的物联网市场前景,吸引了众多 IC 设计公司开发新产品。晶圆代工企业也瞄准了物联网的巨大商机,频频推出新技术,配合设计公司 更快、更好地推出新一代芯片,助力物联网产业高速发展。台积电和三星不仅在先进工艺方面领先布局,在特色工艺方面也深入布局,例如台积电在图像传感器领域、三星在存储芯片领域都深入布 局。联电、格罗方德、中芯国际、华虹半导体等代工厂也全面布局各自的特色工艺,在射频、 汽车 电子、IOT 等领域,形成了各自的特色。

5G 时代终端应用数据量爆炸式提升增加了对半导体芯片的需求,晶圆代工赛道持续繁荣。 随着对 于 5G 通信网络的建设不断推进,不仅带动数据量的爆炸式提升,要求芯片对数据的采集、处理、 存 储 效率更高,而且也催生了诸多 4G 时代难以实现的终端应用,如物联网、车联网等,增加了终 端对芯片的需求范围。对于芯片需求的增长将使得下游的晶圆代工赛道收益,未来市场前景极其广 阔。根据 IHS 预测,晶圆代工市场规模有望从 2020 年的 584 亿美元,增长到 2025 年的 857 亿美 元,CAGR 为 8%。

3.15G 推动手机芯片需求量上涨

5G 手机渗透率快速提升。手机已经进入存量时代,主要以换机为主。2019 年全球智能手机出货量 为 13.7 亿部,2020 年受疫情影响,IDC 等预测手机总体出货量为 12.5 亿台,后续随着疫情的恢 复以及 5G 产业链的成熟,5G 手机有望快速渗透并带动整个手机出货。根据 IDC 等机构预测,5G 手机出货量有望从 2020 年的 1.83 增长到 2024 年的 11.63 亿台,CAGR 为 59%。

5G 手机 SOC、存储和图像传感器全面升级,晶圆代工行业充分受益。 消费者对手机的要求越来越 高,需要更清晰的拍照功能、更好的 游戏 体验、多任务处理等等,因此手机 SOC 性能、存储性能、 图像传感器性能全面提升。目前旗舰机的芯片都已经达到了 7nm 制程,随着台积电下半年 5 nm 产 能的释放,手机 SOC 有望进入 5nm 时代。照片精度的提高,王者荣耀、吃鸡等大型手游和 VLOG 视频等内容的盛行,对手机闪存容量和速度也提出了更高的要求,LPDDR5 在 2020 年初已经正式 亮相小米 10 系列和三星 S20 系列,相较于上一代的 LPDDR4,新的 LPDDR5 标准将其 I/O 速 度从 3200MT/s 提升到 6400MT/s,理论上每秒可以传输 51.2GB 的数据。相机创新是消费者更 换新机的主要动力之一,近些年来相机创新一直在快速迭代,一方面,多摄弥补了单一相机功能不 足的缺点,另一方面,主摄像素提升带给消费者更多的高清瞬间,这两个方向的创新对晶圆及代工 的需求都显著提升。5G 时代,手机芯片晶圆代工市场将会迎来量价齐升。

5G 手机信号频段增加,射频前端芯片市场有望持续快速增长。射频前端担任信号的收发工作,包 括低噪放大器、功率放大器、滤波器、双工器、开关等。相较于 4G 频段,5G 的频段增加了中高 频的 Sub-6 频段,以及未来的更高频的毫米波频段。根据 yole 预测,射频前端市场有望从 2018 年 的 149 亿美元,增长到 2023 年的 313 亿美元,CAGR 为 16%。

3.2云计算前景广阔,服务器有望迎来快速增长

2020 年是国内 5G 大规模落地元年,有望带来更多数据流量需求 。据中国信通院在 2019 年 12 月 份发布的报告,2020 年中国 5G 用户将从去年的 446 万增长到 1 亿人,到 2024 年我国 5G 用户 渗透率将达到 45%,人数将超过 7.7 亿人,全球将达到 12 亿人,5G 用户数的高增长带来流量的 更高增长。

5G 时代来临,云计算产业前景广阔。 进入 5G 时代,IoT 设备数量将快速增加,同时应用的在线 使用需求和访问流量将快速爆发,这将进一步推动云计算产业规模的增长。根据前瞻产业研究院的 报告,2018 年中国云计算产业规模达到了 963 亿元,到 2024 年有望增长到 4445 亿元,CAGR 为 29%,产业前景广阔。

边缘计算是云计算的重要补充,迎来新一轮发展高潮。 根据赛迪顾问的数据,2018 年全球边缘计 算市场规模达到 51.4 亿美元,同比增长率 57.7%,预计未来年均复合增长率将超过 50%。而中国 边缘计算市场规模在 2018 年达到了 77.4 亿元,并且 2018-2021 将保持 61%的年复合增长率,到 2021 年达到 325.3 亿元。

服务器大成长周期确定性强。 服务器短期拐点已现,受益在线办公和在线教育需求旺盛,2020 年 服务器需求有望维持快速增长。长期来看,受益于 5G、云计算、边缘计算强劲需求,服务器销量 有望保持持续高增长。根据 IDC 预测,2024 年全球服务器销量有望达到 1938 万台,19-24 年, CAGR 为 13%。

服务器半导体需求持续有望迎来快速增长,晶圆代工充分受益。 随着服务器数量和性能的提升,服 务器逻辑芯片、存储芯片对晶圆的需求有望快速增长,根据 Sumco 的预测,服务器对 12 寸晶圆 需求有望从 2019 年的 80 万片/月,增长到 2024 年的 158 万片/月,19-24 年 CAGR 为 8%。晶圆 代工市场有望充分受益服务器芯片量价齐升。

3.3三大趋势推动 汽车 半导体价值量提升

传统内燃机主要价值量主要集中在其动力系统。 而随着人们对于 汽车 出行便捷性、信息化的要求逐 渐提高, 汽车 逐步走向电动化、智能化、网联化,这将促使微处理器、存储器、功率器件、传感器、 车载摄像头、雷达等更为广泛的用于 汽车 发动机控制、底盘控制、电池控制、车身控制、导航及车 载 娱乐 系统中, 汽车 半导体产品的用量显著增加。

车用半导体有望迎来加速增长。 根据 IHS 的报告,车用半导体销售额 2019 年为 410 亿美元,13- 19 年 CAGR 为 8%。随着 汽车 加速电动化、智能化、网联化,车用芯片市场规模有望迎来加速, 根据 Gartner 的数据,全球 汽车 半导体市场 2019 年销售规模达 410.13 亿美元,预计 2022 年有望 达到 651 亿美元,占全球半导体市场规模的比例有望达到 12%,并成为半导体下游应用领域中增 速最快的部分。

自动驾驶芯片要求高,有望进一步拉动先进制程需求。 自动驾驶是通过雷达、摄像头等将采集车辆 周边的信息,然后通过自动驾驶芯片处理数据并给出反馈,以此降低交通事故的发生率、提高城市 中的运载效率并降低驾驶员的驾驶强度。自动驾驶要求多传感器之间能够及时、高效地传递信息, 并同时完成路线规划和决策,因此需要完成大量的数据运算和处理工作。随着自动驾驶级别的上升, 对于芯片算力的要求也越高,产生的半导体需求和价值量也随之水涨船高。英伟达自动驾驶芯片随 着自动驾驶级别的提升,芯片制程也显著提升,最早 Drive PX 采用的是 20nm 工艺,而最新 2019 年发布的 Drive AGX Orin 将会采用三星 8nm 工艺。根据英飞凌的预测,自动驾驶给 汽车 所需要的 半导体价值带来相当可观的增量,一辆车如果实现 Level2 自动驾驶,半导体价值增量就将达到 160 美元,若自动驾驶级别达到 level4&5,增量将会达到 970 美元。

3.4IoT 快速增长,芯片类型多

随着行业标准完善、技术不断进步、政策的扶持,全球物联网市场有望迎来爆发性增长。GSMA 预 测,中国 IOT 设备联网数将会从 2019 年的 36 亿台, 增到 到 2025 年的 80 亿台,19-25 年 CAGR 为 17.3%。根据全球第二大市场研究机构 MarketsandMarkets 的报告,2018 年全球 IoT 市场规模 为 795 亿美元,预计到 2023 年将增长到 2196 亿美元,18-23 年 CAGR 为 22.5%。

物联网的发展需要大量芯片支撑,半导体市场规模有望迎来进一步增长 。物联网感知层的核心部件 是传感器系统,产品需要从现实世界中采集图像、温度、声音等多种信息,以实现对于所处场景的 智能分析。感知需要向设备中植入大量的 MEMS 芯片,例如麦克风、陀螺仪、加速度计等;设备 互通互联需要大量的通信芯片,包括蓝牙、WIFI、蜂窝网等;物联网时代终端数量和数据传输通道 数量大幅增加,安全性成为最重要的需求之一,为了避免产品受到恶意攻击,需要各种类型的安全 芯片作支持;同时,身份识别能够保障信息不被盗用,催生了对于虹膜识别和指纹识别芯片的需求; 作为物联网终端的总控制点,MCU 芯片更是至关重要,根据 IC Insights 的预测,2018 年 MCU 市 场规模增长 11%,预计未来四年内 CAGR 达 7.2%,到 2022 年将超过 240 亿美元。

4.1 国内 IC 设计企业快速增长,代工需求进一步放量

国内集成电路需求旺盛,有望持续维持快速增长。 国内集成电路市场需求旺盛,从 2013 年的 820 亿美元快速增长到 2018 年的 1550 亿美元,CAGR 为 13.6%,IC insight 预测,到 2023 年,中国 集成电路市场需求有望达到 2290 亿美元,CAGR 为 8%。但是同时,国内集成电路自给率也严重 不足,2018 年仅为 15%,IC insight 在 2019 年预测,到 2023 年,国内集成电路自给率为 20%。

需求驱动,国内 IC 设计快速成长。 在市场巨大的需求驱动下,国内 IC 设计企业数量快速增加,尤 其近几年,在国内政策的鼓励下,以及中美贸易摩擦大的背景下,IC 设计企业数量加速增加,2019 年底,国内 IC 设计企业数量已经达到了 1780 家,2010-2019 年,CAGR 为 13%。根据中芯国际 的数据,国内 IC 设计公司营收 2020 年有望达到 480 亿美元,2011-2020 年 CAGR 为 24%,远 高于同期国际 4%的复合增长率。

国内已逐步形成头部 IC 设计企业。 根据中国半导体行业协会的统计,2019 年营收前十的入围门槛 从 30 亿元大幅上升到 48 亿元,这十大企业的增速也同样十分惊人,达到 47%。国内 IC 企业逐步 做大做强,部分领域已经形成了一些头部企业:手机 SoC 芯片领域有华为海思、中兴微电子深度 布局;图像传感领域韦尔豪威大放异彩;汇顶 科技 于 2019 年引爆了光学屏下指纹市场;卓胜微、 澜起 科技 分别在射频开关和内存接口领域取得全球领先。IC 设计企业快速成长有望保持对晶圆代 工的强劲需求。

晶圆代工自给率不足。 中国是全球最大的半导体需求市场,根据中芯国际的预测,2020 年中国对 半导体产品的需求为 2130 亿美元,占全球总市场份额为 49%,但是与之相比的是晶圆代工市场份 额严重不足,根据拓墣研究的数据,2020Q2,中芯国际和华虹半导体份额加起来才 6%,晶圆代 工自给率严重不足,尤其考虑到中国 IC 设计企业数量快速增长,未来的需求有望持续增长,而且, 美国对华为等企业的禁令,更是让我们意识到了提升本土晶圆代工技术和产能的重要性。

4.2政策与融资支持,中国晶圆代工企业迎来良机(略)

晶圆代工需求不断增长,但国内自给严重不足,受益需求与国内政策双重驱动,国内晶圆代工迎来 良机。建议关注:国内晶圆代工龙头,突破先进制程瓶颈的中芯国际-U、特色化晶 圆代工与功率半导体 IDM 双翼发展的华润微华润微、坚持特色工艺,盈利能力强的华虹半导体华虹半导体。

……

(报告观点属于原作者,仅供参考。作者:东方证券,蒯剑、马天翼)

如需完整报告请登录【未来智库】www.vzkoo.com。

工情报 Author 黄鑫

机工情报

装备制造业竞争力情报和贸易风险问题研究

2月18日,美国信息技术和创新基金会(ITIF)发布《摩尔定律被破坏:中国政策对全球半导体创新的影响》报告(以下简称“报告”)。报告概述了全球半导体行业的 发展情况 ;分析了半导体行业 持续创新的动力和条件 ;探讨了 中国的半导体行业 政策及其影响。

紧接着,美国总统拜登签署 美国供应链行政令 (Executive Order on America’s Supply Chains),指示对 半导体、医疗用品、关键矿产及高容量电池 的供应链进行广泛评估。

由此可见,半导体行业对美国制造业、经济和国家安全的重要性不可言喻。

当前全球半导体行业的竞争格局

1. 美国企业销售额占全球近50%,但生产能力较弱

2019年,总部位于 美国的半导体企业 在全球半导体行业的 销售额中占据了47%的市场份额 (与2012年的51.8%相比下降了约5%),紧随其后的是韩国(19%)、日本和欧洲(各占10%)、中国台湾(6%)及中国大陆(5%)。

然而,截至2019年,美国仅占全球半导体制造市场的11%,而 韩国 该比例为28%,中国台湾为22% ,日本为16%,中国大陆为12%,欧洲为3%。 2015 2019年,中国大陆在全球半导体制造市场的占比几乎翻了一番 。直到2020年底,美国只有20家半导体制造厂(FAB)在运营。

2. 美、欧、韩在半导体行业的不同领域处于领先地位

逻辑芯片(logic chips)、存储器(memory chips)、模拟芯片(analog chips)和分立器件(discrete chips)是半导体行业的四大领域。从全球半导体行业每个主要细分领域的市场份额来看,2019年,美国在逻辑芯片和模拟芯片方面明显领先;韩国在存储器方面领先(美国紧随其后);欧洲在分立器件方面领先。总部位于 中国的企业在逻辑芯片市场的占有率为9% , 在分立器件市场的占有率为5%。

就具体企业而言,英特尔是全球逻辑芯片的领导者;截至2020年第一季度,德州仪器(Texas Instruments)、ADI和英飞凌(Infineon)是模拟芯片的领导者,其市场份额分别为19%、10%和7%;三星(Samsung)、SK海力士(SK Hynix)和美光(Micron)在动态随机存取存储器(DRAM)领域处于领先地位,分别占全球市场份额的44%、29%和21%。

3. 全球半导体产业链参与程度高,各国均有不同的价值优势

半导体行业高度全球化,大量国家/地区的企业在半导体生产的多个方面展开竞争,从半导体设计到制造,再到ATP(组装、测试和封装)。在半导体价值链(value chain)的每个环节上,平均有来自25个国家的企业参与直接供应链(direct supply chain),23个国家的企业参与支撑工作(support function)。超过12个国家拥有直接从事半导体芯片设计的企业,39个国家至少拥有1家半导体制造工厂,超过25个国家拥有从事ATP的企业。

半导体生产过程中的每个环节都创造了相当大的价值。据美国国际贸易委员会(ITC)的估计,半导体芯片90%的价值存在于设计和制造阶段,10%的价值来自ATP。

全球半导体行业的一个关键驱动力是专业化 ,因为企业——甚至国家内部的整个产业生态集群——都选择将精力集中在掌握半导体生产过程的关键环节上。例如,荷兰在极紫外(EUV)光刻方面的优势;日本在化学品和生产设备方面的优势;韩国在存储芯片方面的优势;中国台湾在代工厂上的优势;马来西亚和越南在ATP方面的优势。

4. 美国半导体专利申请全球领先

根据美国专利商标局(USPTO)追踪其授予的半导体专利数据可知,虽然美国在全球半导体专利中的份额从1998年的43%下降到2018年的29%,但仍然领先;日本的份额下降了大约1/3,从33%下降到23%;随后是中国台湾和韩国;欧盟排在第五位;中国大陆排名第六,约占全球专利的6%。如果 计算每10亿美元GDP中的专利数,中国的滞后就更为严重 。每10亿美元的GDP中,有310项专利授予美国半导体企业,仅有 77项专利授予中国半导体企业 。

5. 中国占全球半导体行业增加值的份额不断攀升

就全球半导体行业增加值的份额而言, 2001 2016年,中国大陆的增长率几乎增长了四倍,从8%增长到31% ;美国的份额从28%下降到22%;日本的份额下降了2/3以上,从30%下降到8%;中国台湾的份额从8%增长到15%;韩国的份额从5%增长到10%;德国和马来西亚各占2%的份额。

6. 除日本和美国外,全球主要国家(地区)半导体行业出口均有所增长

2005 2019年,中国大陆半导体行业出口从278亿美元增长到1380亿美元;中国台湾从359亿美元增长到1110亿美元;韩国从309亿美元增长到924亿美元;欧盟27国+英国从694亿美元增长到816亿美元。与此同时,美国的出口大致保持不变,2005年为531亿美元,2019年为529亿美元;日本的出口略有下降,从479亿美元降至469亿美元。

7. 半导体是全球研发最密集的行业之一

半导体与生物制药是全球研发最密集的行业。在2019年欧盟工业研发投资记分牌(2019 EU Industrial R&D Investment Scoreboard)上,排名前13位的半导体企业在研发方面的投入占销售额的18.4%,超过了生物制药行业。其中,前三名分别是美国的高通、中国台湾的联发科和美国的AMD。而在实际投入(actual investment)方面,三星以148亿欧元(约合176亿美元)领先,华为以127亿欧元(约合150亿美元)紧随其后,英特尔(Intel)以118亿欧元(约合137亿美元)排名第三。

截至2018年,总部位于美国企业的半导体研发投入占销售额的比重为17.4%,欧洲为13.9%,中国台湾为9.9%,日本为8.8%,中国大陆为8.4%,韩国为7.3%。欧洲半导体行业的研发强度已从2010年的16.5%下降到如今的13.9%。相反,中国半导体企业的研发强度从2012年的6.3%上升到2018年的8.4%。

8. 半导体行业资本投入高

半导体也属于资本密集型行业。2019年,美国半导体行业的全球资本支出(CapEx)总计319亿美元,占销售额的比例达到12.5%,仅次于美国的替代能源行业(alternative-energy sector)。在全球资本支出方面,2019年,总部位于韩国的企业对半导体行业的资本支出占全球该行业资本支出的31%,其次是美国(28%)、中国台湾(17%)、中国大陆(10%)、日本(5%)和欧洲(4%)。

开发新的半导体设计或建立新的半导体晶圆厂所需的专业知识、资金和规模非常高,而且还在不断增加。例如,将芯片设计从10 nm推进到7nm的成本增加了1亿美元以上,而从7 nm推进到5 nm的成本可能又翻了一番,从3亿美元增加到近5.5亿美元。但这仅是设计芯片的成本。据估计,截至2020年,新建14 16nm晶圆厂的平均成本为130亿美元;10nm晶圆厂的建造成本为150亿美元;7nm晶圆厂的建造成本为180亿美元;5nm晶圆厂的建造成本为200亿美元。

中国在全球半导体行业中举足轻重

1. 中国半导体实力不断增强

无论从芯片设计还是制造的角度来看,中国的半导体实力都在迅速增长。例如,2010 2015年,中国IC设计企业的数量就从485家增加到715家。2005 2015年,中国半导体行业复合年增长率为18.7%,半导体消费增长率为14.3%,全球半导体市场复合年增长率仅为4.0%。

目前,全球约有20%的无晶圆厂IC设计公司位于中国。正如德勤(Deloitte)的一份报告所述,“在集成电路设计方面,中国大陆的能力在过去5年里激增,并开始赶上中国台湾和韩国,成为亚太地区IC设计的主要参与者。”

2. 中国市场对美国半导体企业而言十分重要

中国市场相当重要,在许多美国半导体企业的收入中占据了相当大的比例。例如,2018年前四个月,中国市场占高通收入的60%以上,美光的50%以上,博通的45%左右,德州仪器的40%以上。2018年,美国半导体企业约36%的收入,即750亿美元,来自对中国的销售。

3. 中国半导体行业收入快速增长,但净利润率低

截至2019年底,全球136家最大的半导体企业创造的收入总计5718亿美元。其中,总部位于中国的企业为413亿美元,占全球收入的7.2%以上。中国企业占全球封装测试服务(OSAT)收入的21%(60亿美元);占代工收入的8%(45亿美元);占芯片设计和制造收入的7%(296亿美元)。2015年,中国企业占全球半导体行业收入的4%。由此可见,2015 2019年,中国企业的收入占比几乎翻了一番。

尽管中国半导体行业的收入发展迅速,但其净利润率只有英特尔(Intel)、三星(Samsung)、台积电(TSMC)、SK海力士(SK Hynix)和美光(Micron)等企业的一小部分。平均而言,2019年,非中国半导体企业的净利润率为19.4%,而 中国半导体企业的净利润率为12.1% 。

智库提议未来应采取哪些针对中国的措施

报告称,中国通过“重商主义”政策扭曲全球市场,阻碍创新型企业发展和研发投入,破坏半导体行业的“摩尔定律”。报告为应对“中国挑战”提出了国际层面和美国国内层面(落实《为芯片生产创造有益的激励措施法案》(CHIPS)、增加半导体研发的联邦投资)的建议。其中,国际层面的建议包括:

1. 扩大世贸组织有关补贴的内容

根据世贸组织的规定,将财政援助确定为补贴需要具备三个要素:1)财政捐款;2)由政府或公共机构给予;3)给予这种捐助的收益。

因此, 美国应与志同道合的国家和世贸组织合作,更新其规则,对激进的工业补贴施加更严厉的条件和惩罚。 首先 澄清“公共机构”的定义 ,将其扩大到包括国有企业和私营企业等受国家影响的实体。同时,要求给予国有企业的补贴不会对其他国家造成伤害。

志同道合的国家应专注于大幅 提高全球补贴的透明度 ,包括坚持及时、完整地通告补贴行为,并 对未及时通报的补贴建立损害推定 。各国还应召开世贸组织成员和世贸组织上诉机构之间的年度会议,讨论与过度使用补贴相关的模式和挑战。

2. 盟国应在半导体出口管制方面进行合作

对于全球半导体行业,中国既是一个重要的市场,也是一个重要的生产地。对支撑中国经济和军事崛起的核心技术的出口管制无疑将成为政策制定者认真考虑的工具。然而,正如ITIF曾经提出的,美国应尽最大可能与志同道合的国家合作, 协调出口管制措施 ,“因为出口管制制度在国际协调的情况下最为成功。”正如《出口管制改革法案》(Export Control Reform Act)第4811(5)条所述,“ 出口管制应与多边出口管制制度相协调。多边的出口管制是最有效的 ,应该将重点放在那些能够用来对美国及其盟友构成严重国家安全威胁的核心技术和其他物项上。”

报告提出,之前美国为了寻求实现经济或贸易政策目标,不断推行单边出口管制。其与代表特定半导体(包括半导体制造设备)行业和更广泛先进技术的传统瓦森纳协定(瓦协)之间需要形成一种新的管制方式。因此, 美国应避免实施单边出口管制,并寻求制定更雄心勃勃和更有效的诸边(plurilateral)办法,与德国、日本、韩国、中国台湾、荷兰和英国等具有本土半导体产能的国家(地区)共同实施出口管制。

这些国家应共同努力,就非市场经济国家的企业对全球半导体行业构成的威胁以及半导体技术的发展速度和进展达成共识。然后,这些国家 应在“瓦协”之外建立工作组,即“小瓦协”,对半导体技术和相关管制物项(现有管制物项范围之外)进行定义,并制定共同的许可政策。

3. 统一外商直接投资审查程序

《2018年外国投资风险审查现代化法案》(FIRRMA)指示美国海外投资委员会(CFIUS)建立一个正式程序,与盟国政府分享信息,并在投资安全问题上进行协调与合作。因此,美国应继续与志同道合的国家合作, 协调投资审查程序,并考虑扩大其例外国(excepted foreign states)名单, 将法国、德国、荷兰、意大利、日本和韩国等国包括在内。

4. 加强信息共享,打击对外经济间谍活动以及知识产权、技术或商业秘密盗窃

美国应该带领更多志同道合的国家建立一个更广泛的“五眼联盟”,专门致力于合作打击由国家资助的先进技术领域中的间谍活动。该组织可以 编制一份企图进行知识产权盗窃的企业及个人名单,同时制定机制,限制这些企业和个人在盟国市场上竞争。

5. 在半导体研发中实现盟国间合作

半导体创新的广泛性和复杂性意味着有机会招募来自志同道合的国家参与长期、高潜力的研发计划,如“semiconductor moon shots”(半导体登月计划)。这实际上是美国两党《芯片法案》(CHIPS for America Act)所预期的,它呼吁 设立一个7.5亿美元的多边安全基金 ,以支持安全微电子技术的发展和采用。在这方面, 确保微电子供应链的安全将是第一步 ,国会将在今年秋天审查《国防授权法案》(National Defense Authorization Act)的重新授权时,为这一条款拨出资金。

小结

根据宾夕法尼亚大学发布的2020年《全球智库指数报告》,ITIF排在当年美国顶级智库(Top Think Tanks)第39位,全球顶级 科技 政策智库(Top Science and Technology Policy Think Tanks)第4位。其主席阿特金森(Rob Atkinson)具有丰富的政府部门工作经历,其观点在政界具有一定的影响力。此前,ITIF的很多建议和倡导均被美国政府采纳。

ITIF一直对我国的 科技 创新政策持批评态度,并主张对我国采取强硬的反制措施。此份报告在半导体领域的建议与拜登政府联合盟国,发展国内制造业,遏制中国的思路不谋而合,因此很有可能被美国政府采纳。


欢迎分享,转载请注明来源:内存溢出

原文地址: http://outofmemory.cn/dianzi/8992997.html

(0)
打赏 微信扫一扫 微信扫一扫 支付宝扫一扫 支付宝扫一扫
上一篇 2023-04-23
下一篇 2023-04-23

发表评论

登录后才能评论

评论列表(0条)

保存