半导体四大长期投资逻辑(附细分领域龙头股)

半导体四大长期投资逻辑(附细分领域龙头股),第1张

一、 AIoT黄金时代已至,开启半导体“千亿级”大赛道

AIoT智能物联网进入发展“加速段”:智能化技术配套已成熟,未来十年快速成长。2021年受到疫情影响带动防疫+居家双重需求,助推大量AIoT场景落地。国内AIoT龙头连接设备量环比快速上升,大量AIoT应用场景快速落地;是AIoT应用成熟需求快速融合的阶段,叠加疫情催化智能类产品放量,为快速发展元年;预计未来十年应用持续普及,为黄金十年。

AIoT驱动半导体市场规模,有望达到2500亿人民币。传感器与芯片生产商在AIoT产业链中,价值量占比约为10%;按照2021年全球AIoT市场规模3740亿美元计算,预计半导体价值量达到374亿美元,约为2500亿元。半导体是促进智能家居、智能建筑、智能 健康 、智能医疗、智能工控、智能城市等各领域落地与兴起,叠加应用落地与需求提升,使其中半导体板块重点受益。

二、 汽车 半导体价值和量有望同步升级,功率半导体产能短缺成为新常态

汽车 电子所展现的颠覆性趋势不可小觑,随着AIOT和新能源 汽车 的加速渗透, 汽车 半导体的价值和量有望同步升级。按照国家规划的发展愿景,2025年新能源 汽车 销量有望突破500万辆,保有量将在2000万辆。预计2030年, 汽车 电子在整车中的成本占比会从2000年的18%增加到45%,为涉足 汽车 领域的电子及半导体企业提供了莫大的机遇。

功率半导体产能短缺成为新常态,将迎来史上空前景气周期。 汽车 是功率半导体最大需求领域,占比近1/3。预计2025年新能源 汽车 相关功率半导体价格超124亿元。产能方面,主要功率半导体厂商境内有29条功率半导体产线,9条在建及拟建产线。估算从晶圆厂开建到达产需要3年左右的时间,由此可见扩建的大部分产能对缓解目前供需紧张的情况将在2023年后才能逐步显现。

三、半导体景气度持续上行,下半年预计产能持续紧张+旺季更旺

超30家半导体企业2021Q2调涨产品价格。2020年Q3以来,半导体行业热度居高不下,公司纷纷上调产品价格。普遍因市场需求高速增长及上游原材料价格上涨等。集体涨价表明半导体需求正达到前所未有的高度。

中芯华虹扩产趋势明确,晶圆代工成为博弈焦点,未来5年有望持续扩产。大陆半导体制造板块未来趋势主线:需求端受益于“万物互联+国产替代”,技术端受益于成熟制程工艺不断进步和先进制程工艺良率不断上升。晶圆代工作为板块中资产最重的环节,向上拉动设备材料的研发进展,向下影响设计公司的产品能力,在贸易冲突下备受关注。全球数字化进程持续进行,晶圆代工产能重要性凸显,逐渐成为战略性资产。

四、国产半导体设备材料受益制造产能扩张+国产替代加速有预期上修空间

本土半导体制造有望加速融资扩产,带动设备材料预期上修。当前时间节点,短期来看半导体设备材料公司由于在手订单充裕,二/三季度业绩可期;长期来看,受益制造产能扩张及国产替代加速,半导体设备材料板块成长趋势明确。后摩尔时代,随着本土半导体制造板块融资扩产加速,设备材料板块有预期上修空间。设备和材料板块在半导体各细分赛道中涨幅居前。我们持续看好半导体设备材料板块预期上修的机会。

相关标的:

1.AIOT板块SoC主控: 瑞芯微/晶晨股份/全志 科技 /富瀚微/恒玄 科技 ; MCU微控制器: 兆易创新/中颖电子/北京君正/国民技术; 通信IC: 乐鑫 科技 /博通集成; 传感器: 赛微电子/敏芯股份/苏州固锝/惠伦晶体;

2.功率半导体板块: 闻泰 科技 /中车时代电气/斯达半导/捷捷微电/士兰微/华润微/华微电子/新洁能;

3.制造板块: 中芯国际/华虹半导体/晶合集成;

4.设备材料板块: 雅克 科技 /北方华创/中微公司/盛美半导体/精测电子/华峰测控/长川 科技 /鼎龙股份/有研新材/至纯 科技 /正帆 科技

6月22日行情预判

周一沪指小幅低开,全天震荡为主,小涨收阳;创业板指走得较强。沪市成交量较上周五稍微萎缩,量能没能放大,则指数大概率仍是震荡走势;市场涨多跌少,赚钱效应良好,当前轻指数重个股,把握结构性行情机会。若外围市场波动不大,预计周二上证指数大概率反d行情,上方压力3560,下方支撑3500。

搜集整理资料及创作不易,求转发,求点赞,觉得好请关注,谢谢!

过去几十年,全球半导体行业增长主要受台式机、笔记本电脑和无线通信产品等尖端电子设备的需求,以及基于云计算兴起的推动。这些增长将继续为高性能计算市场领域开发新应用程序。

首先,5G将让数据量呈指数级增长。我们需要越来越多的服务器来处理和存储这些数据。2020年Yole报告,这些服务器核心的高端CPU和GPU的复合年增长率有望达到29%。它们将支持大量的数据中心应用,比如超级计算和高性能计算服务。在云 游戏 和人工智能等新兴应用的推动下,GPU预计将实现更快增长。例如,2020年3月,互联网流量增长了近50%,法兰克福的商业互联网数据交换创下了数据吞吐量超过每秒9.1兆兆位的新世界纪录。

第二个主要驱动因素是移动SoC——智能手机芯片。这个细分市场增长虽然没有那么快, 但这些SoC在尺寸受限的芯片领域对更多功能的需求,将推动进一步技术创新。

除了逻辑、内存和3D互联的传统维度扩展之外,这些新兴应用程序将需要利用跨领域的创新。这需要在器件、块和SoC级别进行新模块、新材料和架构的改变,以实现在系统级别的效益。我们将这些创新归纳为半导体技术的五大发展趋势。

趋势一:摩尔定律还有用,将为半导体技术续命8到10年…

在接下来的8到10年里,CMOS晶体管的密度缩放将大致遵循摩尔定律。这将主要通过EUV模式和引入新器件架构来实现逻辑标准单元缩放。

在7nm技术节点上引入了极紫外(EUV)光刻,可在单个曝光步骤中对一些最关键的芯片结构进行了设计。在5nm技术节点之外(即关键线后端(BEOL)金属节距低于28-30nm时),多模式EUV光刻将不可避免地增加了晶圆成本。最终,我们希望高数值孔径(High-NA) EUV光刻技术能够用于行业1nm节点的最关键层上。这种技术将推动这些层中的一些多图案化回到单图案化,从而提供成本、产量和周期时间的优势。

Imec对随机缺陷的研究对EUV光刻技术的发展具有重要意义。随机打印故障是指随机的、非重复的、孤立的缺陷,如微桥、局部断线、触点丢失或合并。改善随机缺陷可使用低剂量照射,从而提高吞吐量和成本。

为了加速高NA EUV的引入,我们正在安装Attolab,它可以在高NA EUV工具面世之前测试一些关键的高NA EUV材料(如掩膜吸收层和电阻)。目前Attolab已经成功地完成了第一阶段安装,预计在未来几个月将出现高NA EUV曝光。

除了EUV光刻技术的进步之外,如果没有前沿线端(FEOL)设备架构的创新,摩尔定律就无法延续。如今,FinFET是主流晶体管架构,最先进的节点在6T标准单元中有2个鳍。然而,将鳍片长度缩小到5T标准单元会导致鳍片数量减少,标准单元中每个设备只有一个鳍片,导致设备的单位面积性能急剧下降。这里,垂直堆叠纳米薄片晶体管被认为是下一代设备,可以更有效地利用设备占用空间。另一个关键的除垢助推器是埋地动力轨(BPR)。埋在芯片的FEOL而不是BEOL,这些BPR将释放互连资源路由。

将纳米片缩放到2nm一代将受到n-to-p空间约束的限制。Imec设想将Forksheet作为下一代设备。通过用电介质墙定义n- p空间,轨道高度可以进一步缩放。与传统的HVH设计相反,另一个有助于提高路由效率的标准单元架构发展是针对金属线路的垂直-水平-垂直(VHV)设计。最终通过互补场效应晶体管(CFET)将标准cell缩小到4T,之后充分利用cell层面上的第三维度,互补场效应晶体管通过将n-场效应晶体管与p-场效应晶体管折叠。

趋势2: 在固定功率下,逻辑性能的提高会慢下来

有了上述的创新,我们期望晶体管密度能遵循摩尔所规划的路径。但是在固定电源下,节点到节点的性能改进——被称Dennard缩放比例定律,Dennard缩放比例定律(Dennard scaling)表明,随着晶体管变得越来越小,它们的功率密度保持不变,因此功率的使用与面积成比例;电压和电流的规模与长度成比例。

世界各地的研究人员都在寻找方法来弥补这种减速,并进一步提高芯片性能。上述埋地电力轨道预计将提供一个性能提高在系统水平由于改进的电力分配。此外,imec还着眼于在纳米片和叉片装置中加入应力,以及提高中线的接触电阻(MOL)。

二维材料如二硫化钨(WS2)在通道中有望提高性能,因为它们比Si或SiGe具有更强的栅长伸缩能力。其中基于2d的设备架构包括多个堆叠的薄片非常有前景,每个薄片被一个栅极堆叠包围并从侧面接触。模拟表明,这些器件在1nm节点或更大节点上比纳米片的性能更好。为了进一步改善这些器件的驱动电流,我们着重改善通道生长质量,在这些新材料中加入掺杂剂和提高接触电阻。我们试图通过将物理特性(如生长质量)与电气特性相关联来加快这些设备的学习周期。

除了FEOL, 走线拥挤和BEOL RC延迟,这些已经成为性能改善的重要瓶颈。为了提高通径电阻,我们正在研究使用Ru或Mo的混合金属化。我们预计半镶嵌(semi-damascene)金属化模块可同时改善紧密距金属层的电阻和电容。半镶嵌(semi-damascene) 可通过直接模式和使用气隙作为介电在线路之间(控制电容增加)

允许我们增加宽高比的金属线(以降低电阻)。同时,我们筛选了各种替代导体,如二元合金,它作为‘good old’ Cu的替代品,以进一步降低线路电阻。

趋势3:3D技术使更多的异构集成成为可能

在工业领域,通过利用2.5D或3D连接的异构集成来构建系统。这些有助于解决内存问题,可在受形状因素限制的系统中添加功能,或提高大型芯片系统的产量。随着逻辑PPAC(性能-区域-成本)的放缓,SoC 的智能功能分区可以提供另一个缩放旋钮。一个典型的例子是高带宽内存栈(HBM),它由堆叠的DRAM芯片组成,这些芯片通过短的interposer链路直接连接到处理器芯片,例如GPU或CPU。最典型的案例是Intel Lakefield CPU上的模对模堆叠, AMD 7nm Epyc CPU。在未来,我们希望看到更多这样的异构SOC,它是提高芯片性能的最佳桥梁。

在imec,我们通过利用我们在不同领域(如逻辑、内存、3D…)所进行的创新,在SoC级别带来了一些好处。为了将技术与系统级别性能联系起来,我们建立了一个名为S-EAT的框架(用于实现高级技术的系统基准测试)。这个框架可评估特定技术对系统级性能的影响。例如:我们能从缓存层次结构较低级别的片上内存的3D分区中获益吗?如果SRAM被磁存储器(MRAM)取代,在系统级会发生什么?

为了能够在缓存层次结构的这些更深层次上进行分区,我们需要一种高密度的晶片到晶片的堆叠技术。我们已经开发了700nm间距的晶圆-晶圆混合键合,相信在不久的将来,键合技术的进步将使500nm间距的键合成为可能。

通过3D集成技术实现异质集成。我们已经开发了一种基于sn的微突起互连方法,互连间距降低到7µm。这种高密度连接充分利用了透硅通孔技术的潜力,使>16x更高的三维互联密度在模具之间或模具与硅插接器之间成为可能。这样就大大降低了对HBM I/O接口的SoC区域需求(从6 mm2降至1 mm2),并可能将HBM内存栈的互连长度缩短至多1 mm。使用混合铜键合也可以将模具直接与硅结合。我们正在开发3µm间距的模具到晶圆的混合键合,它具有高公差和放置精度。

由于SoC变得越来越异质化,一个芯片上的不同功能(逻辑、内存、I/O接口、模拟…)不需要来自单一的CMOS技术。对不同的子系统采用不同的工艺技术来优化设计成本和产量可能更有利。这种演变也可以满足更多芯片的多样化和定制化需求。

趋势4:NAND和DRAM被推到极限非易失性存储器正在兴起

内存芯片市场预测显示,2020年内存将与2019年持平——这一变化可能部分与COVID-19减缓有关。2021年后,这个市场有望再次开始增长。新兴非易失性存储器市场预计将以>50%的复合年增长率增长,主要受嵌入式磁随机存取存储器(MRAM)和独立相变存储器(PCM)的需求推动。

NAND存储将继续递增,在未来几年内可能不会出现颠覆性架构变化。当今最先进的NAND产品具有128层存储能力。由于晶片之间的结合,可能会产生更多的层,从而使3D扩展继续下去。Imec通过开发像钌这样的低电阻字线金属,研究备用存储介质堆,提高通道电流,并确定控制压力的方法来实现这一路线图。我们还专注于用更先进的FinFET器件取代NAND外围的平面逻辑晶体管。我们正在 探索 3D FeFET与新型纤锌矿材料,作为3D NAND替代高端存储应用。作为传统3D NAND的替代品,我们正在评估新型存储器的可行性。

对于DRAM,单元缩放速度减慢,EUV光刻可能需要改进图案。三星最近宣布EUV DRAM产品将用于10nm (1a)级。除了 探索 EUV光刻用于关键DRAM结构的模式,imec还为真正的3D DRAM解决方案提供了构建模块。

在嵌入式内存领域,我通过大量的努力来理解并最终拆除所谓的内存墙,CPU从DRAM或基于SRAM的缓存中访问数据的速度有多快?如何确保多个CPU核心访问共享缓存时的缓存一致性?限制速度的瓶颈是什么? 我们正在研究各种各样的磁随机存取存储器(MRAM),包括自旋转移转矩(STT)-MRAM,自旋轨道转矩(SOT)-MRAM和电压控制磁各向异性(VCMA)-MRAM),以潜在地取代一些传统的基于SRAM的L1、L2和L3缓存(图4)。每一种MRAM存储器都有其自身的优点和挑战,并可能通过提高速度、功耗和/或内存密度来帮助我们克服内存瓶颈。为了进一步提高密度,我们还在积极研究可与磁隧道结相结合的选择器,这些是MRAM的核心。

趋势5:边缘人工智能芯片行业崛起

边缘 AI预计在未来五年内将实现100%的增长。与基于云的人工智能不同,推理功能是嵌入在位于网络边缘的物联网端点(如手机和智能扬声器)上的。物联网设备与一个相对靠近边缘服务器进行无线通信。该服务器决定将哪些数据发送到云服务器(通常是时间敏感性较低的任务所需的数据,如重新培训),以及在边缘服务器上处理哪些数据。

与基于云的AI(数据需要从端点到云服务器来回移动)相比,边缘 AI更容易解决隐私问题。它还提供了响应速度和减少云服务器工作负载的优点。想象一下,一辆需要基于人工智能做出决定的自动 汽车 。由于需要非常迅速地做出决策,系统不能等待数据传输到服务器并返回。考虑到通常由电池供电的物联网设备施加的功率限制,这些物联网设备中的推理引擎也需要非常节能。

今天,商业上可用的边缘 AI芯片,加上快速GPU或ASIC,可达到1-100 Tops/W运算效率。对于物联网的实现,将需要更高的效率。Imec的目标是证明推理效率在10.000个Tops /W。

通过研究模拟内存计算架构,我们正在开发一种不同的方法。这种方法打破了传统的冯·诺伊曼计算模式,基于从内存发送数据到CPU(或GPU)进行计算。使用模拟内存计算,节省了来回移动数据的大量能量。2019年,我们演示了基于SRAM的模拟内存计算单元(内置22nm FD-SOI技术),实现了1000Tops/W的效率。为了进一步提高到10.000Tops/W,我们正在研究非易失性存储器,如SOT-MRAM, FeFET和基于IGZO(铟镓锌氧化物)的存储器。

下面,我们将采用对比分析的方法来认识P型半导体和N型半导体。

P型半导体也称为空穴型半导体。P型半导体即空穴浓度远大于自由电子浓度的杂质半导体。在纯净的硅晶体中掺入三价元素(如硼),使之取代晶格中硅原子的位子,就形成P型半导体。在P型半导体中,空穴为多子,自由电子为少子,主要靠空穴导电。空穴主要由杂质原子提供,自由电子由热激发形成。掺入的杂质越多,多子(空穴)的浓度就越高,导电性能就越强。

N型半导体也称为电子型半导体。N型半导体即自由电子浓度远大于空穴浓度的杂质半导体。在纯净的硅晶体中掺入五价元素(如磷),使之取代晶格中硅原子的位置,就形成了N型半导体。在N型半导体中,自由电子为多子,空穴为少子,主要靠自由电子导电。自由电子主要由杂质原子提供,空穴由热激发形成。掺入的杂质越多,多子(自由电子)的浓度就越高,导电性能就越强。

扩展资料

半导体( semiconductor),指常温下导电性能介于导体(conductor)与绝缘体(insulator)之间的材料。半导体在收音机、电视机以及测温上有着广泛的应用。如二极管就是采用半导体制作的器件。半导体是指一种导电性可受控制,范围可从绝缘体至导体之间的材料。无论从科技或是经济发展的角度来看,半导体的重要性都是非常巨大的。今日大部分的电子产品,如计算机、移动电话或是数字录音机当中的核心单元都和半导体有着极为密切的关连。常见的半导体材料有硅、锗、砷化镓等,而硅更是各种半导体材料中,在商业应用上最具有影响力的一种。

以GaN(氮化镓)为代表的第三代半导体材料及器件的开发是新兴半导体产业的核心和基础,其研究开发呈现出日新月异的发展势态。GaN基光电器件中,蓝色发光二极管LED率先实现商品化生产 成功开发蓝光LED和LD之后,科研方向转移到GaN紫外光探测器上 GaN材料在微波功率方面也有相当大的应用市场。氮化镓半导体开关被誉为半导体芯片设计上一个新的里程碑。美国佛罗里达大学的科学家已经开发出一种可用于制造新型电子开关的重要器件,这种电子开关可以提供平稳、无间断电源。

参考资料

半导体-百度百科


欢迎分享,转载请注明来源:内存溢出

原文地址: http://outofmemory.cn/dianzi/8992998.html

(0)
打赏 微信扫一扫 微信扫一扫 支付宝扫一扫 支付宝扫一扫
上一篇 2023-04-23
下一篇 2023-04-23

发表评论

登录后才能评论

评论列表(0条)

保存