求用verilog语言编写从1开始的十二进制的计数器,急求程序啊

求用verilog语言编写从1开始的十二进制的计数器,急求程序啊,第1张

受长度限制,输关键部分:

always@(posedge clk) begin

if(~rst_n|(cnt==12)) cnt_r <= 4'h1

else cnt_r <= cnt_r + 1'b1

end

assign cnt = cnt_r

module Verilog(A,B,C,D,Y)

input [3:0] A,B,C,D

output Y

wire [7:0] X

reg Y

assign X={A,3'b0}+{B,2'b0}+{C,1'b0}+D

always @(X)

begin

if ((X>8'h4)&&(X<=8'hf))

Y=1'b1

else

Y=1'b0

end

endmodule

程序经过仿真,结果正确。


欢迎分享,转载请注明来源:内存溢出

原文地址: http://outofmemory.cn/yw/8069938.html

(0)
打赏 微信扫一扫 微信扫一扫 支付宝扫一扫 支付宝扫一扫
上一篇 2023-04-13
下一篇 2023-04-13

发表评论

登录后才能评论

评论列表(0条)

保存