谁能帮忙在QuestaSim和ModelSim仿真一下systemverilog for verf

谁能帮忙在QuestaSim和ModelSim仿真一下systemverilog for verf,第1张

能帮忙在QuestaSim和ModelSim仿真一下systemverilog for verf

1,ModelSim可以直接编译添加Xilinx的库,目前的ise中(在开始菜单xilinx工具下找吧)直接有使用ModelSim编译库的工具。完成库的编译之后,就是添加库到ModelSim的仿真环境中,修改modelsim安装目录下的modelsim.ini,这样就完成了库的添加,在仿真时,仅需要填加生成ip的.v文件。

2,tb自己编写是最好,xilinx 有些ip是有部分的tb的,但是这些tb仅仅是帮助你了解ip的使用,不具有实用价值,比如mac ddr等

这里附上我N年前编译仿真库的笔记,那个时候ModelSim和ise的版本都是很早的版本,不过原理还是一样的

先得把modelsim.ini改为可写

在命令行模式下运行:

"compxlib -s mti_se -l all -f all -p D:\Modeltech_6.1c\win32"

编译好的库放在:

D:\Xilinx\10.1\ISE\vhdl\mti_se

D:\Xilinx\10.1\ISE\verilog\mti_se

编译好之后,modelsim.ini 增加下面内容

One of the main differences between QuestaSim and Modelsim (besides performance/capacity) is that QuestaSim is the simulation engine for the Questa Platform which includes integration of Verification Management, Formal based technologies, Questa Verification IP, Low Power Simulation and Accelerated Coverage Closure technologies.

如果只是做通常的仿真 两者没有区别

对于非常复杂的设计的验证 Questa效率高

Modelsim也支持UVM 但不如Questa支持的好(比如assertion和覆盖率等方面)

还有一些小地方:Questa有64位模式 而Modelsim在64位OS上也只是32位模式

Questa提供模拟数字混合仿真接口

Questa可以同时启动多个波形窗口


欢迎分享,转载请注明来源:内存溢出

原文地址: https://outofmemory.cn/bake/11803442.html

(0)
打赏 微信扫一扫 微信扫一扫 支付宝扫一扫 支付宝扫一扫
上一篇 2023-05-18
下一篇 2023-05-18

发表评论

登录后才能评论

评论列表(0条)

保存