QUARTUS II中IP核的调用方法(图文详解)

QUARTUS II中IP核的调用方法(图文详解),第1张

  很多人都说QUARYUSII中的IP核是收费的,不可以直接用的,其实不然,下面我以FIR滤波器的核的使用来给大家介绍IP核的使用,希望对大家有点帮助。

  1.使用

  (1)首先建立工程,这个就不说了。然后建立个原理图文件或者.v文件

  (2)打开magevizard工具箱,如图

  QUARTUS II中IP核的调用方法(图文详解),打开magevizard工具箱,第2张

  (3)然后找到你想要用到的核,这里找到fir09

  QUARTUS II中IP核的调用方法(图文详解),找到fir09,第3张

  (4)然后再QUARTUS II中IP核的调用方法(图文详解),写上自己想要的文件名字,第4张写上自己想要的文件名字,QUARTUS II中IP核的调用方法(图文详解),然后next,第5张然后next。后出现这样的菜单栏。如下图

  QUARTUS II中IP核的调用方法(图文详解),出现这样的菜单栏,第6张

  然后就是按部就班的来了,QUARTUS II中IP核的调用方法(图文详解),设置参数,第7张设置参数啊,QUARTUS II中IP核的调用方法(图文详解),生成仿真文件,第8张生成仿真文件啊QUARTUS II中IP核的调用方法(图文详解),完成,第9张完成啊。

  (5)然后就可以在.v或原理图文件中调用了。

  (6)具体使用方法要看使用文档的。

欢迎分享,转载请注明来源:内存溢出

原文地址: https://outofmemory.cn/dianzi/2507600.html

(0)
打赏 微信扫一扫 微信扫一扫 支付宝扫一扫 支付宝扫一扫
上一篇 2022-08-05
下一篇 2022-08-05

发表评论

登录后才能评论

评论列表(0条)

保存