FPGA信号截位策略研究

FPGA信号截位策略研究,第1张

FPGA中,随着信号处理的层次加深,对信号进行乘、累加、滤波等运算后,可能输入时仅为8位位宽的信号会扩展成几十位位宽,位宽越宽,占用的硬件资源就越多,但位宽超过一定范围后,位宽的增宽并不会对处理精度带来显著的改善,这时就需要对信号进行截位。写过FPGA HDL代码的童鞋都应该知道,截位是最为经常的一种 *** 作。

一般来说,截位只需截掉低几位即可,这是最简单的做法;对于无符号数来说,这样做也没有问题;当然也有四舍五入的截位方法,就是给次低位加一后再进行截位。

下面讨论三种截位截略:1)直接截位;2)负数截位后加1;3)负数取绝对值后再截位。在matlab中模拟FPGA截位过程,运行如下一段程序,得到四幅图。

a=10000*randn(1,1000);
%%
%截位方式一:直接截位
%%
b=floor(a/2^5);%截去低5位
afft=fft(a);bfft=fft(b);
figure;%观察原始信号与截位后信号的频谱
subplot(2,1,1);plot(abs(afft)/max(abs(afft)));grid;TItle('原始信号');
subplot(2,1,2);plot(abs(bfft)/max(abs(bfft)));grid;TItle('截位信号');
%%
b=floor(a/2^12);%截去低12位
afft=fft(a);bfft=fft(b);
figure;%观察原始信号与截位后信号的频谱
subplot(2,1,1);plot(abs(afft)/max(abs(afft)));grid;TItle('原始信号');
subplot(2,1,2);plot(abs(bfft)/max(abs(bfft)));grid;TItle('截位信号');
figure;
%%
%截位方式二:负数截位后加1
%%
b=zeros(1,length(a));
for ii=1:length(a)
if a(ii)<0
b(ii)=floor(a(ii)/2^12)+1;%截去低12位后加1
else
b(ii)=floor(a(ii)/2^12);%直接截位
end
end
afft=fft(a);bfft=fft(b);
figure;%观察原始信号与截位后信号的频谱
subplot(2,1,1);plot(abs(afft)/max(abs(afft)));grid;title('原始信号');
subplot(2,1,2);plot(abs(bfft)/max(abs(bfft)));grid;title('截位信号');
%%
%截位方式三:负数取绝对值后再截位
%%
b=zeros(1,length(a));
for ii=1:length(a)
if a(ii)<0
b(ii)=-floor(-a(ii)/2^12);%负数取绝对值后截去低12位再变回原来符号
else
b(ii)=floor(a(ii)/2^12);%直接截位
end
end
afft=fft(a);bfft=fft(b);
figure;%观察原始信号与截位后信号的频谱
subplot(2,1,1);plot(abs(afft)/max(abs(afft)));grid;title('原始信号');
subplot(2,1,2);plot(abs(bfft)/max(abs(bfft)));grid;title('截位信号');

 

FPGA信号截位策略研究,图一:直接截去低五位,第2张

图一:直接截去低五位

FPGA信号截位策略研究,图二:直接截去低12位,第3张

图二:直接截去低12位

FPGA信号截位策略研究,图三:截去低12位且负数截位后加一,第4张

图三:截去低12位且负数截位后加一

FPGA信号截位策略研究,图四:截去低12位且负数取绝对值后再截位,截完位再变回原先的符号,第5张

图四:截去低12位且负数取绝对值后再截位,截完位再变回原先的符号

由图三和图四可以看出,采用第二种和第三种截位策略后,直流分量消失。

其实第三种截位策略是达到了这样一种效果:一对相反数在截位后仍然是一对相反数。这种效果在直接截位时对于奇数来说是达不到的。

其实直接截位之所以出现直流分量可以这样直观的来认识:从matlab程序中也可以看出,对于FPGA信号截位来说,实际上就是向下取整;对于有符号数来讲,正数向下取整在数轴上是向原点零靠近,然后负数向下取整则是远离原点零了,这是因为负数以补码形式表示,它的补码所对应的无符号数经截位后向原点零靠近了,换算成有符号的负数时,则是远离原点零了。因此截位实际造成的效果是信号整体向负无穷方向平移了,即对于一个白噪声序列来讲,原本均值为零,截位后均值变为了负数。

欢迎分享,转载请注明来源:内存溢出

原文地址: https://outofmemory.cn/dianzi/2612708.html

(0)
打赏 微信扫一扫 微信扫一扫 支付宝扫一扫 支付宝扫一扫
上一篇 2022-08-10
下一篇 2022-08-10

发表评论

登录后才能评论

评论列表(0条)

保存