波形文件和仿真结果波形文件的差别

波形文件和仿真结果波形文件的差别,第1张

波形文件和仿真结果波形文件的差别有以下几点,具体如下:

1.打开命令不同

波形文件观察波形时,结束时都会生成一个*.wlf的文件(默认是vsim.wlf),可以用modelsim直接打开vsim -view vsim.wlf -do run.do。

仿真结果波形文件包含了信号的变化信息,就相当于记录了整个仿真的信息,我们可以用这个文件来再现仿真,也就能够显示波形,但是波形文件会很大,使用VCD打开。

2.功耗不同

波形文件通过Encounter 和 PrimeTime PX (Prime Power)都可以通过输入网表文件,带功耗信息的库橘迅文件以及仿真后产生的波形文件来实现功耗分析。

仿真结果波形文件记录了信号的完整变化信息,我们还可以通过VCD文件来估计设计的功耗,而这一点也是其他波形文件所不具备的。

3.数据量不同

波形文件数据量小,而且会提高仿真速度。我们知道VCD文件使用verilog内置埋伍帆的系统函数来实现 的,fsdb是通过verilog的PLI接口来实现的。

仿真结果波形文件产生shm文件的时间最短(废话,本来就是一个公司的),产生vcd文件的时间数倍于产生shm和 fsdb的弯雹时间。在笔者测试的例子中,产生的fsdb文件为十几MB,shm文件为几十MB,而仿真结果波形文件则要几个GB的大小。

siwave仿真结果保存方法:

1、在wave界面,将仿真波形保存为.do文件(信号文件)。

2、切换左边任务栏至sim,,点击保存,给将要保存的宴贺.wlf文件(波形文件)命名。

3、保存,OK,关闭modelsim.

4、重启modelsim,open之前保存的租祥简.wlf文件,然后弊裤load对应的.do文件。


欢迎分享,转载请注明来源:内存溢出

原文地址: https://outofmemory.cn/tougao/12145593.html

(0)
打赏 微信扫一扫 微信扫一扫 支付宝扫一扫 支付宝扫一扫
上一篇 2023-05-21
下一篇 2023-05-21

发表评论

登录后才能评论

评论列表(0条)

保存