设计一款可编程HAD辅助软件方案

设计一款可编程HAD辅助软件方案,第1张

1、引言

Xilinx 公司和 Altera 公司的设计工具中,提供了一些 HDL 形式的电路库。不过库单元都是比较简单的小规模数字电路,如逻辑门、74 系列器件等,对中、大规模和复杂逻辑时序电路的设计帮助不大。另外也有一些外围功能模块和复杂逻辑的单元库提供如通用异步收发器、有限冲击响应滤波器等,但不是以 HDL 的形式提供的,只适用于某些特定的 PLD 器件,移植性比较差。因此,在实际工作中编制了一个管理和组合 HDL 电路单元 IP 库的辅助设计软件 --HAD 软件。通过 HAD 软件,对设计好的 HDL 电路库进行管理,按照系统设计规范的要求从库中选取相应的电路单元并将它们组合起来,大大降低了系统设计和调试的难度,提高了系统的稳定性和可靠性,并且有利于系统日后的升级。

设计一款可编程HAD辅助软件方案,第2张

2、 HAD 辅助设计软件功能

辅助设计(HAD)软件主要是对 HDL 语言的电路起一个辅助设计的作用。HAD 软件的功能有两个:管理电路单元 IP 库以及生成所需外围电路的 HDL 程序。

电路单元库的管理主要有两个方面:电路单元接口的管理以及电路单元 HDL 程序的管理。因此,电路单元库是由两个库组成的,一个是电路单元的接口库,另外一个是电路单元 HDL 程序库[7]。电路单元接口库里的基本单位是各个电路单元的接口信息,电路单元 HDL 程序库里的基本单位是描述各种电路单元的 HDL 源程序。类似于标准芯片,如 8255 芯片,电路单元的接口信息描述了这个标准芯片的输入输出以及它的功能,相当于 8255 的管脚和使用功能说明;而电路单元 HDL 程序描述了这个标准芯片内部的实际电路以及用何种电路结构实现相应功能的,这相当于 8255 芯片内部的电路组成和结构。对于管理一个库来说,基本的 *** 作主要是新增、删除和修改库单元。

HAD 软件的最终目的就是生成外围电路的 HDL 程序。也就是根据系统设计规范的要求从 IP 库中选取合适的电路单元,再以适当的形式组合起来,成为一个完整的 HDL 程序。

3、 电路模块 HDL 程序生成

HAD 软件中最主要的功能就是电路模块 HDL 程序的生成。生成电路模块的 HDL 程序的过程,主要就是对电路单元 IP 库中的某些单元进行若干次例化的过程。

进行例化就必须具备两个条件:首先要有模块输入输出端口的列表,其次要知道的是与这些端口相连接的信号列表。根据两者的对应关系,完成例化,然后将例化好的电路模块组合起来,成为一个 HDL 程序文件,生成电路模块 HDL 程序的流程如图 2 所示:

设计一款可编程HAD辅助软件方案,第3张

通过上面的分析,首先创建两个数据库。一个存放各种模块 HDL 程序的源文件,另外一个存放与各个模块相对应的输入输出端口的信号列表。

存放 HDL 程序的源文件的数据库比较简单,对它的 *** 作仅限于对文件内容的复制,因此这里不作进一步的讨论。

存放端口信号列表的数据库结构,如表 1 所示。

设计一款可编程HAD辅助软件方案,第4张

表 1 中,最上面的一行是数据库的表头,字段名代表了每一个字段下面内容的具体含义。其中,module 为电路单元模块名;detail 为存放模块端口详细信息的数据库名;PortN 代表了模块中某个端口的名字, N 是自然数。

从表 1 中第二行开始的记录是不同的模块。例如,第二行记录所描述的模块是带有一个异步清零端的 D 触发器,模块名是 DFF,模块端口的详细信息存放在 DFF_D 的数据库文件中,总共有 4 个端口,分别是 CLK、CLR、D 和 Q。数据库文件 DFF_D 中存放的是每个端口的方向和宽度。

以 DFF 模块为例,其对应的数据库文件 DFF_D 的内容如表 2 所示:

设计一款可编程HAD辅助软件方案,第5张

数据库文件 DFF_D 的字段有两类,前一半的字段都是以“Pn_io”的形式出现,代表了这个端口的流向,是输入、输出还是双向。后一半的字段都是以“Pn_bit”的形式出现,代表了这个端口信号的宽度。

通过上面两类数据库,就可以完整地掌握一个模块的所有接口信息。

在图 1 所示的流程图中,最重要的一步就是 “进行例化、组合”。根据上述几个数据库的定义和描述,例化和组合的流程如图 3 所示。图中两个预备处理(六边形框)的工作是“例化组合”前的准备工作。根据系统设计规范的要求,确定所需功能模块的种类和数量,然后由设计者来确定所有模块及其输入输出信号的名字。这些 *** 作都可以通过键盘输入的方式来完成,从而将例化和组合过程中所需的信息传递给 HAD 软件。

设计一款可编程HAD辅助软件方案,第6张

确定好所需的模块之后,就可以逐一地对每个模块进行例化。首先打开端口信号列表数据库,从中找到所选定的模块。接着,打开存放有模块端口详细信息的数据库,读取端口的类型和数量,按照指定的连接信号名对模块进行例化。最后将例化后的 HDL 程序组合成一个文件。

4 、管理电路单元库程序的设计思路

管理电路单元库的软件所具备的功能是对电路单元进行增加、删除和修改等 *** 作,流程如图 4 所示。

设计一款可编程HAD辅助软件方案,第7张

图 4 中,管理流程主要由 3 个处理分支组成,分别是增加、删除和修改电路单元分支。

电路单元的增加功能就是将电路模块的 HDL 程序加入到电路单元库中。库中增加了一个新的电路单元,意味着又多了一个功能可供我们选择。随着库中电路单元的日益增多,硬件设计中可以用 HAD 软件完成的比重就会越来越大,有利于系统设计。

设计一款可编程HAD辅助软件方案,第8张

删除电路单元处理分支的流程如图 6 所示。电路单元的删除功能就是将不再需要的电路单元从库中移掉。用程序实现时,只要将该电路单元在数据库中的记录删除,同时将对应的详细信息数据库一起删除即可。

设计一款可编程HAD辅助软件方案,第9张

修改电路单元处理分支的流程如图 7 所示。该单元的修改功能就是对库中的电路单元的信息进行修正。

设计一款可编程HAD辅助软件方案,第10张

欢迎分享,转载请注明来源:内存溢出

原文地址: http://outofmemory.cn/dianzi/2484379.html

(0)
打赏 微信扫一扫 微信扫一扫 支付宝扫一扫 支付宝扫一扫
上一篇 2022-08-04
下一篇 2022-08-04

发表评论

登录后才能评论

评论列表(0条)

保存