ModelSim SE的几个 *** 作:open,load,import,save format

ModelSim SE的几个 *** 作:open,load,import,save format,第1张

直接启动ModelSim软件,界面如下:

ModelSim SE的几个 *** 作:open,load,import,save format,启动ModelSim软件,第2张

提三点:

1)如果鼠标单击一下标记为1部分,然后单击File,如下图所示:

ModelSim SE的几个 *** 作:open,load,import,save format,然后单击File,第3张

可见open选项是可用的,单击open可以打开.v,.vhdl等源文件;

2)如果鼠标单击一下标记为2和3的部分,然后单击File,如下图所示:

ModelSim SE的几个 *** 作:open,load,import,save format,ModelSim SE的几个 *** 作:open,load,import,save format,第4张

可见open选项是可用的,单击open可以打开.wlf波形文件;

单击load,可以加载.do的文件,该文件的作用参考博文《modelsim中的两个 *** 作:do wave.do 和combine signals 》(链接: )

3)import选项是加载library,没用过。

.do文件需要自己保存,执行File--Save Format...,保存的默认名字为wave.do;

.wlf文件不需要自己保存,仿真后你的目录下面自动会有一个vsim.wlf文件,保存着最新一次仿真的波形图。

其实在本博文中我最想说的是如何打开wlf文件,因为经常会遇到想打开上次仿真波形的情况。需要注意的是open的对象在鼠标定位在不同位置时是不同的。

欢迎分享,转载请注明来源:内存溢出

原文地址: http://outofmemory.cn/dianzi/2577978.html

(0)
打赏 微信扫一扫 微信扫一扫 支付宝扫一扫 支付宝扫一扫
上一篇 2022-08-07
下一篇 2022-08-07

发表评论

登录后才能评论

评论列表(0条)

保存