在vivado中怎么添加新的程序

在vivado中怎么添加新的程序,第1张

右击要打开的文件选属性,打开方式中的更改,在程序中找,如果没有你要找答唤的程序,选“浏览”在里面寻找清搜凯你需要的程序,选择后按确定使用就可以了漏伍,使用一次之后,系统就会记下了,以后该类型的文件的打开方式中就会多出一个你选择的程序。

使用vivado isim仿真的方法和过程如下:

1) 测试平台建立;

a) 在工程管理区点击鼠标右键,d出菜单选择New Source,d出界面; b) 输入文件名,选择Verilog Test Fixture,打钩add to project,单击NEXT;

c) 选择要仿真的文件,点击NEXT;

d) 点击“FINISH”,就生成一个Verilog测试模块。

ISE能自动生成测试平台的完整构架,包括所需信号、端口声明以及模块调用的实现。所需要完成的工作就是initial….end模块中的“//Add stimulus here”后面添加测试向量生成代码。

这里给出示例测试代码,将其添加于//Add stimulus here处

#100

SW = 7

#100

SW = 11

#100

SW = 13

#100

SW = 14

2) 测试平台建立后,在工程管理区将状态设置为“Simulation”;选择要仿真的文件名,

过程管理区就会显示“Isim simlator”;闷悄

3) 下拉“Isim simlator”,选择“Simulate Behavioral Model”,单击鼠标右键,现在“Process Properties”可修改仿真远行时间等。

4) 修改后,直接双击“Isim simlator”中的“Simulate Behavioral Model”进行仿真。

检查仿真结果是否达到预期设计目标。

Vivado设计套件,是FPGA厂商赛灵思公司2012年发布的集成设计环境。包括高度集成的设计环境和新一代从系统到IC级的工具,这些均建立在共享的可扩展数据模型和通用调试环境基础上。集成的设计环境——Vivado设计套件蚂仔渣包括高度集成的设计环境和新一代从系统到IC级的工具,这些均建立在共享的可扩展数据模型戚袭和通用调试环境基础上。


欢迎分享,转载请注明来源:内存溢出

原文地址: http://outofmemory.cn/yw/12405171.html

(0)
打赏 微信扫一扫 微信扫一扫 支付宝扫一扫 支付宝扫一扫
上一篇 2023-05-25
下一篇 2023-05-25

发表评论

登录后才能评论

评论列表(0条)

保存