一种基于FPGA的UART 电路实现

一种基于FPGA的UART 电路实现,第1张

        1 引 言

  UART 即通用异步收发器,他广泛使用串行数据传输协议。UART 功能包括微处理器接口、用于数据传输的缓冲器(Buffer)、帧产生、奇偶校验、并串转换,用于数据接收的缓冲器、帧产生、奇偶校验、串并转换等。UART的特点是一个字符接一个字符传输,并且传送一个字符总是以起始位开始,以停止位结束,字符之间没有固定的时间间隔要求。每一个字符的前面都有一位起始位(低电平,逻辑值0) , 字符本身由5~ 8 位数据位组成,接着字符后面是一位校验位,最后是停止位(1 位,或1 位半,或2位) , 停止位后面是不定长度的空闲位。停止位和空闲位都规定高电平(逻辑值1) , 这样可以保证起始位开始处有一个下降沿。在一般的使用中往往不需要使用完整的UART功能,比如对于多串口的设备或需要加密通讯的场合使用UART 就不是最合适的。如果设计上用到FPGACPLD器件,那么就可以将所需要的UART 功能集成到FPGA内部,从而使整个设计更加紧凑、稳定、可靠。分析UART的结构,UART 主要由数据总线接口、控制逻辑和状态接口、波特率发生器、发送和接收等部分组成。在本设计中,固定数据帧格式为: 开始位(1 b 低电平)、8 位数据位、偶校验、停止位(1 b 高电平) , 波特率可调。

  2 波特率发生模块

  设计的UART 的接收和发送按照相同的波特率进行,波特率可以通过接口模块的总线接口进行设置。

  UART 收发的每一个数据宽度都是波特率发生器输出的时钟周期的16 倍,即假定当前按照9 600 b?s 进行收发,那么波特率发生器的输出时钟频率应该为9 600×16 Hz.

  假定提供的外部时钟为116MHz, 可以很简单地通过总线写入不同的数值到波特率发生器保持寄存器,然后用计数器的方式生成所需要的各种波特率,即分频器。计算公式为: 1 600 000?(16×所期望的波特率) - 1, 如果希望输出10 000 Hz 的波特率,可以得出从总线写入的数值为1 600 000?(16×10 000) - 1= 9 (09H)。

  3 发送模块

  根据UART 协议的描述,发送逻辑流程如图1 所示。

  发送数据由接口模块控制,接口模块给出w rn 信号,发送器根据此信号将并行数据锁存,并通过发送保持寄存器和发送移位寄存器发送并行数据。由计数器no_ bs_sent 控制状态的转移,即数据的发送,计数值为1 时,数据从发送保持寄存器传送到发送移位寄存器,计数值为2时,发送开始位(1 b 低电平) , 计数值为3~ 10, 发送8 位数据,计数器为11, 发送校验位,计数值为12, 发送1 位停止位,计数器随后清零。发送时钟是根据数据传输的波特率产生的,16 倍于波特率发生器产生的时钟。

  一种基于FPGA的UART 电路实现,图1 发送逻辑的流程,第2张

  图1 发送逻辑的流程

  发送模块信号:

  rst (输入) : 复位端口, 低电平有效;

  w rn (输入) : 写控制信号;

  din [ 0: 7 ] (输入) : 并行数据输入信号;

  clk16x (输入) : 外部时钟信号;

  tbre (输出) : 发送保持寄存器空信号, 高电平有效;

  t sre (输出) : 发送移位寄存器空信号, 高电平有效;

  sdo (输出) : 串行数据输出信号。

  用VHDL 语言编写代码,使用Xinlinx 的ISE511 进行逻辑综合,运用Modelsim 7.2 做时序仿真,其结果如图2所示。

  一种基于FPGA的UART 电路实现,图2 发送模块时序仿真波形图,第3张

  图2 发送模块时序仿真波形图

欢迎分享,转载请注明来源:内存溢出

原文地址: https://outofmemory.cn/dianzi/2455196.html

(0)
打赏 微信扫一扫 微信扫一扫 支付宝扫一扫 支付宝扫一扫
上一篇 2022-08-04
下一篇 2022-08-04

发表评论

登录后才能评论

评论列表(0条)

保存