用verdi打开一个vdb文件首先需要通过flist查看代码结构 verdif包含工程的file的list的文件如flist.ftop工程的topname的作用,允许在后台运行,即在命令之行窗口使用了ctrl+c后打开的verdi窗口也不会关闭,可以在设计中选中想要观察的信号,直接拉到波形窗口中就可以打开vdb文件。
在波形窗口中:file,save signal/restore signa选择保存为rc的文件,然后需要打开保存的波形文件在波形窗口的open图标。接下来再选择要打开的波形文件用verdi打开覆盖率文件查看覆盖率,打开一个verdi,tools,coverage,在新打开的界面中选择file,open/add database,选择相应的vdb文件。
最后在more options,打开cov.hier文件,覆盖率文件为vdb文件,hier文件为对应工程目录的层级结构文件,这样就可以成功地使用verdi打开vdb文件。
在debussy中实现。打开nWave后并加载fsdb波形文件后,使用快捷键G在窗口的左下角,默认是All的选项,意思是在窗口里显示所有的信号,如果信号很多,你又只想看input,那么你就可以用input的那个过滤项,只把input信号显示出来。
verdi使用波形窗口,在波形窗口中最主要的是光标和标记的 *** 作,可以由三个键的组合 *** 作就能完成所有工作。
常用的波形文件有如下三种,1、trn文件,Cadence simvision支持,通过如下方式产生
$shm_open(“./wave”)
//产生你所需要dump
波形的文件夹
$shm_probe(bench_top,”AS”)
//bench_top为举例,即你所需要dump的层
$shm_close
2、fsdb文件,debussy/verdi支持,通过如下方式产生
$fsdbDumpfile(“./wave/top.fsdb”)
$fsdbDumpvars(5,bench_top)
//从bench_top开始,dump 5层;
$fsdbDumpoff
3、vcd文件,该文件通用性更广,可以转换为任意格式,可惜文件太大,产生方式如下,
$dumpfile(“./wave/top.vcd”)
$dumpvars(5,bench_top)
$dumpoff
当然也可以同时产生,或者通过nc
option指定,
譬如在option中增加+TRN_DUMP/+VCD_DUMP/+FSDB_DUMP等
在.v文件中去解析这样的strings
initial begin
start_dump =10
stop_dump =3000000
finish_time=3000100
#0
begin
if($test$plusargs(“VCD_DUMP”))
$dumpfile(“./wave/top.vcd”)
if($test$plusargs(“TRN_DUMP”))
$shm_open(“./wave”)
if($test$plusargs(“FSDB_DUMP”))
$fsdbDumpfile(“./wave/top.fsdb”)
end
#(start_dump)
begin
if($test$plusargs(“VCD_DUMP”))
$dumpvars(5,bench_top)
if($test$plusargs(“TRN_DUMP”))
$shm_probe(bench_top,”AS”)
if($test$plusargs(“FSDB_DUMP”))
$fsdbDumpvars(5,bench_top)
end
#(stop_dump-start_dump)
begin
if($test$plusargs(“VCD_DUMP”))
$dumpoff
if($test$plusargs(“TRN_DUMP”))
$shm_close
if($test$plusargs(“FSDB_DUMP”))
$fsdbDumpoff
end
#(finish_time-stop_dump)
$stop
$finish
end
各种波形文件的打开方式
TRN
simvision ./wave/wave.trn
-input
../../signal_list/simvision.svcf
FSDB
debussy -f $FileList.f
-autoalias -ssf ./wave/top.fsdb -top
bench_top
-sswr
../../signal_list/top.rc
VCD
该文件需要被转换为trn或fsdb文件,然后打开;
转fsdb文件:
vfast ./wave/top.vcd -o ./wave/top.fsdb
&&
debussy -f $FileList.f
-autoalias -ssf ./wave/top.fsdb -top
bench_top
-sswr
../../signal_list/top.rc
转trn文件:
simvisdbutil -CVTMVL9 -OVERWRITE
-LOGFILE wolf.log -OUTPUT wave.trn top.vcd
&&
simvision ./wave/wave.trn
-input
../../signal_list/simvision.svcf
欢迎分享,转载请注明来源:内存溢出
评论列表(0条)