useieee.std_logic_1164.all
entity correspondis
port(
clk,en:in std_logic
Send_data:in std_logic_vector(9 downto 0)
serial:out std_logic)
end correspond
architecturecorrespond_arc of correspond is--定义结构体
begin
process(clk)
variable count:integer range 0 to 9 :=0 --定义变量,初值为0
begin
if (en='0') then
--如果信号不允许发送,即EN= ‘0’,则计数0,串口输出高电平‘1’
count:=0
serial<='1'
elsif rising_edge(clk) then
--如果允许发送,即EN= ‘1’,开始发送
if (count=9) then--如果count=9,则不停的发送数据最高位send_data[9]
serial<=Send_data(9)
else--如果count/=9,则依次从0位到9位开始发送数据
serial<=Send_data(count)
count:=count+1
end if
end if
end process
endcorrespond_arc
晕,你这个没有任何的程序描述,纯粹的输入输出module top_design(vp_in,
vp_out,
VBLK,
FID,
fpga_0_RS232_req_to_send_pin,
fpga_0_RS232_RX_pin,
fpga_0_RS232_TX_pin,
fpga_0_Generic_GPIO_GPIO_d_out_pin, fpga_0_Generic_GPIO_GPIO_in_pin,
fpga_0_Generic_GPIO_GPIO_t_out_pin ,
fpga_0_Generic_GPIO_GPIO_IO_pin_t,
sys_clk_pin,
sys_rst_pin ,
led_0 ,
led_1,
led_2,
led_3,
led_4,
led_5 ,
VPOUT_LLC,
VPIN_LLC,
vblk_t,
avid_t,
vsync_t,
hsync_t,
fid_t,
in_clk_t,
reset_t,
vp_in_t,
IIC_IO_pin)
input [7:0]vp_in
input VBLK
input fpga_0_RS232_RX_pin
input [1:0]fpga_0_Generic_GPIO_GPIO_in_pin
input sys_clk_pin
input sys_rst_pin
input VPIN_LLC
input vblk_t
input avid_t
input vsync_t
input hsync_t
input fid_t
input in_clk_t
input vp_in_t
output [7:0]vp_out
output fpga_0_RS232_req_to_send_pin
output fpga_0_RS232_TX_pin
output [1:0]fpga_0_Generic_GPIO_GPIO_d_out_pin
output [1:0]fpga_0_Generic_GPIO_GPIO_t_out_pin
output led_0
output led_1
output led_2
output led_3
output led_4
output led_5
output VPOUT_LLC
output reset_t
inout [1:0]fpga_0_Generic_GPIO_GPIO_IO_pin_t
inout [1:0]IIC_IO_pin
end module
你这段VHDL代码只是实体描述部分,具体设计根本就没有,毕业设计根本糊弄不过去
欢迎分享,转载请注明来源:内存溢出
评论列表(0条)